HYS64T32900HU-3.7-B [QIMONDA]

240-Pin unbuffered DDR2 SDRAM Modules; 240针无缓冲DDR2 SDRAM模组
HYS64T32900HU-3.7-B
型号: HYS64T32900HU-3.7-B
厂家: QIMONDA AG    QIMONDA AG
描述:

240-Pin unbuffered DDR2 SDRAM Modules
240针无缓冲DDR2 SDRAM模组

存储 内存集成电路 动态存储器 双倍数据速率 时钟
文件: 总87页 (文件大小:5113K)
中文:  中文翻译
下载:  下载PDF数据表文档文件
December 2006  
HYS64T32x00HU–[25F/2.5/3/3S/3.7/5]–B  
HYS[64/72]T64x00HU–[25F/2.5/3/3S/3.7/5]–B  
HYS[64/72]T128x20HU–[25F/2.5/3/3S/3.7/5]–B  
240-Pin unbuffered DDR2 SDRAM Modules  
DDR2 SDRAM  
UDIMM SDRAM  
RoHS Compliant  
Internet Data Sheet  
Rev. 1.3  
Internet Data Sheet  
HYS[64/72]T[32/64/128]xx0HU-[25F/2.5/3/3S/3.7/5]-B  
Unbuffered DDR2 SDRAM Module  
HYS64T32x00HU–[25F/2.5/3/3S/3.7/5]–B, HYS[64/72]T64x00HU–[25F/2.5/3/3S/3.7/5]–B,  
HYS[64/72]T128x20HU–[25F/2.5/3/3S/3.7/5]–B  
Revision History: 2006-12, Rev. 1.3  
Page  
Subjects (major changes since last revision)  
All  
Adapted internet edition  
4, 5  
Added WhiteBox Products for Speed Grade –3S and –3.7  
Added WhiteBox Products for Speed Grade –3S and –3.7 to IDD tables.  
45, 46  
70, 74, 78, Updated SPD codes for –3S and –3.7 WhiteBox Products.  
82  
Previous Revision: 2006-09, Rev. 1.21  
All  
Previous Revision: 2006-06, Rev. 1.2  
43 SPD codes updated  
Previous Revision: 2006-01, Rev. 1.1  
Qimonda update  
3
Added PC2-6400-555 product types  
42  
24  
48  
55  
Added IDD currents  
Added Speed Grade bin for DDR2-800D  
Added IDD Measurement Contions for DDR2-800D  
Added SPD codes for PC2-6400-555 product types  
Previous Revision: Rev. 1.0  
We Listen to Your Comments  
Any information within this document that you feel is wrong, unclear or missing at all?  
Your feedback will help us to continuously improve the quality of this document.  
Please send your proposal (including a reference to this document) to:  
techdoc@qimonda.com  
qag_techdoc_rev400 / 3.2 QAG / 2006-08-07  
03292006-6GMD-RSFT  
2
Internet Data Sheet  
HYS[64/72]T[32/64/128]xx0HU-[25F/2.5/3/3S/3.7/5]-B  
Unbuffered DDR2 SDRAM Module  
1
Overview  
This chapter gives an overview of the 240-Pin unbuffered DDR2 SDRAM Modules product family and describes its main  
characteristics.  
1.1  
Features  
Feature list and performance tables  
240-Pin PC2–6400, PC2–5300, PC2–4200 and  
PC2–3200 DDR2 SDRAM memory modules.  
32M × 64, 64M × 64, 64M × 72, 128M × 64 and 128M ×72  
module organization and 32M × 16, 64M × 8 chip  
organization  
Standard Double-Data-Rate-Two Synchronous DRAMs  
(DDR2 SDRAM) with a single + 1.8 V (± 0.1 V) power  
supply  
Auto Refresh (CBR) and Self Refresh  
Programmable self refresh rate via EMRS2 setting  
Programmable partial array refresh via EMRS2 settings  
Average Refresh Period 7.8 µs at a TCASE lower than  
85 °C, 3.9µs between 85 °C and 95 °C.  
DCC enabling via EMRS2 setting  
All inputs and outputs SSTL_1.8 compatible  
Off-Chip Driver Impedance Adjustment (OCD) and  
On-Die Termination (ODT)  
256MB, 512MB and 1GB modules built with 512-Mbit  
DDR2 SDRAMs in P-TFBGA-84 and P-TFBGA-60  
chipsize packages  
Serial Presence Detect with E2PROM  
UDIMM Dimensions (nominal):  
All speed grades faster than DDR2–400 comply with  
DDR2–400 timing specifications.  
Programmable CAS Latencies (3, 4 and 5),  
Burst Length (8 & 4) and Burst Type  
30 mm high, 133.35 mm wide  
Based on standard reference layouts Raw Card “C”,  
“D”,”E”,”F” and “G“  
RoHS compliant products1)  
TABLE 1  
Performance Table  
Product Type Speed Code  
Speed Grade  
–25F  
–2.5  
–3  
–3S  
–3.7  
–5  
Unit  
PC2–6400 PC2–6400 PC2–5300 PC2–5300 PC2–4200 PC2–3200  
5–5–5  
6–6–6  
4–4–4  
5–5–5  
4–4–4  
3–3–3  
Max. Clock Frequency @CL6 fCK6 400  
@CL5 fCK5 400  
400  
333  
266  
200  
15  
MHz  
MHz  
MHz  
MHz  
ns  
333  
333  
200  
12  
333  
266  
200  
15  
266  
266  
200  
15  
200  
200  
200  
15  
@CL4 fCK4 266  
@CL3 fCK3 200  
Min. RAS-CAS-Delay  
Min. Row Precharge Time  
Min. Row Active Time  
Min. Row Cycle Time  
tRCD 12.5  
tRP 12.5  
tRAS 45  
15  
12  
15  
15  
15  
ns  
45  
45  
45  
45  
40  
ns  
tRC 57.5  
60  
57  
60  
60  
55  
ns  
1) RoHS Compliant Product: Restriction of the use of certain hazardous substances (RoHS) in electrical and electronic equipment as defined  
in the directive 2002/95/EC issued by the European Parliament and of the Council of 27 January 2003. These substances include mercury,  
lead, cadmium, hexavalent chromium, polybrominated biphenyls and polybrominated biphenyl ethers.  
Rev. 1.3, 2006-12  
3
03292006-6GMD-RSFT  
Internet Data Sheet  
HYS[64/72]T[32/64/128]xx0HU-[25F/2.5/3/3S/3.7/5]-B  
Unbuffered DDR2 SDRAM Module  
1.2  
Description  
The Qimonda HYS[64/72]T[32/64/128]xx0HU-[25F/2.5/3/3S/3.7/5]-B  
module family are unbuffered DIMM modules “UDIMMs” with  
30 mm height based on DDR2 technology. DIMMs are  
available as non-ECC modules in 32M × 64 (256 MB),  
64M × 64 (512 MB), 128M × 64(1 GB) and as ECC modules  
in 64M × 72 (512 MB), 128M × 72(1 GB) organization and  
density, intended for mounting into 240-pin connector  
sockets.  
The memory array is designed with 512-Mbit Double-Data-  
Rate-Two (DDR2) Synchronous DRAMs. Decoupling  
capacitors are mounted on the PCB board. The DIMMs  
feature serial presence detect based on a serial E2PROM  
device using the 2-pin I2C protocol. The first 128 bytes are  
programmed with configuration data and are write protected;  
the second 128 bytes are available to the customer.  
TABLE 2  
Ordering Information for RoHS Compliant Products  
Product Type1)  
Compliance Code2)  
Description  
SDRAM  
Technology  
PC2–6400  
HYS64T32000HU–25F–B  
HYS64T64000HU–25F–B  
HYS72T64000HU–25F–B  
HYS64T128020HU–25F–B  
HYS72T128020HU–25F–B  
PC2–6400  
256 MB 1R×16 PC2–6400U–555–12–C1  
512 MB 1R×8 PC2–6400U–555–12–D0  
512 MB 1R×8 PC2–6400E–555–12–F0  
1 GB 2R×8 PC2–6400U–555–12–E0  
1 GB 2R×8 PC2–6400E–555–12–G0  
1 Rank, Non-ECC  
1 Rank, Non-ECC  
1 Rank, ECC  
512 Mbit (×16)  
512 Mbit (×8)  
512 Mbit (×8)  
512 Mbit (×8)  
512 Mbit (×8)  
2 Ranks, Non-ECC  
2 Ranks, ECC  
HYS64T32000HU–2.5–B  
HYS64T64000HU–2.5–B  
HYS72T64000HU–2.5–B  
HYS64T128020HU–2.5–B  
HYS72T128020HU–2.5–B  
PC2–5300  
256 MB 1R×16 PC2–6400U–666–12–C1  
512 MB 1R×8 PC2–6400U–666–12–D0  
512 MB 1R×8 PC2–6400E–666–12–F0  
1 GB 2R×8 PC2–6400U–666–12–E0  
1 GB 2R×8 PC2–6400E–666–12–G0  
1 Rank, Non-ECC  
1 Rank, Non-ECC  
1 Rank, ECC  
512 Mbit (×16)  
512 Mbit (×8)  
512 Mbit (×8)  
512 Mbit (×8)  
512 Mbit (×8)  
2 Ranks, Non-ECC  
2 Ranks, ECC  
HYS64T32000HU–3–B  
HYS64T64000HU–3–B  
HYS72T64000HU–3–B  
HYS64T128020HU–3–B  
HYS72T128020HU–3–B  
PC2–5300  
256 MB 1R×16 PC2–5300U–444–12–C1  
512 MB 1R×8 PC2–5300U–444–12–D0  
512 MB 1R×8 PC2–5300E–444–12–F0  
1 GB 2R×8 PC2–5300U–444–12–E0  
1 GB 2R×8 PC2–5300E–444–12–G0  
1 Rank, Non-ECC  
1 Rank, Non-ECC  
1 Rank, ECC  
512 Mbit (×16)  
512 Mbit (×8)  
512 Mbit (×8)  
512 Mbit (×8)  
512 Mbit (×8)  
2 Ranks, Non-ECC  
2 Ranks, ECC  
HYS64T32000HU–3S–B  
HYS64T32900HU–3S–B  
HYS64T64000HU–3S–B  
HYS64T64900HU–3S–B  
HYS72T64000HU–3S–B  
HYS64T128020HU–3S–B  
HYS64T128920HU–3S–B  
HYS72T128020HU–3S–B  
256 MB 1R×16 PC2–5300U–555–12–C1  
256 MB 1R×16 PC2–5300U–555–12–C1  
512 MB 1R×8 PC2–5300U–555–12–D0  
512 MB 1R×8 PC2–5300U–555–12–D0  
512 MB 1R×8 PC2–5300E–555–12–F0  
1 GB 2R×8 PC2–5300U–555–12–E0  
1 GB 2R×8 PC2–5300U–555–12–E0  
1 GB 2R×8 PC2–5300E–555–12–G0  
1 Rank, Non-ECC  
1 Rank, Non-ECC  
1 Rank, Non-ECC  
1 Rank, Non-ECC  
1 Rank, ECC  
512 Mbit (×16)  
512 Mbit (×16)  
512 Mbit (×8)  
512 Mbit (×8)  
512 Mbit (×8)  
512 Mbit (×8)  
512 Mbit (×8)  
512 Mbit (×8)  
2 Ranks, Non-ECC  
2 Ranks, Non-ECC  
2 Ranks, ECC  
Rev. 1.3, 2006-12  
4
03292006-6GMD-RSFT  
Internet Data Sheet  
HYS[64/72]T[32/64/128]xx0HU-[25F/2.5/3/3S/3.7/5]-B  
Unbuffered DDR2 SDRAM Module  
Product Type1)  
Compliance Code2)  
Description  
SDRAM  
Technology  
PC2–4200  
HYS64T32000HU–3.7–B  
HYS64T32900HU–3.7–B  
HYS64T64000HU–3.7–B  
HYS64T64900HU–3.7–B  
HYS72T64000HU–3.7–B  
HYS64T128020HU–3.7–B  
HYS64T128920HU–3.7–B  
HYS72T128020HU–3.7–B  
PC2–3200  
256 MB 1R×16 PC2–4200U–444–12–C1  
256 MB 1R×16 PC2–4200U–444–12–C1  
512 MB 1R×8 PC2–4200U–444–12–D0  
512 MB 1R×8 PC2–4200U–444–12–D0  
512 MB 1R×8 PC2–4200E–444–12–F0  
1 GB 2R×8 PC2–4200U–444–12–E0  
1 GB 2R×8 PC2–4200U–444–12–E0  
1 GB 2R×8 PC2–4200E–444–12–G0  
1 Rank, Non-ECC  
1 Rank, Non-ECC  
1 Rank, Non-ECC  
1 Rank, Non-ECC  
1 Rank, ECC  
512 Mbit (×16)  
512 Mbit (×16)  
512 Mbit (×8)  
512 Mbit (×8)  
512 Mbit (×8)  
512 Mbit (×8)  
512 Mbit (×8)  
512 Mbit (×8)  
2 Ranks, Non-ECC  
2 Ranks, Non-ECC  
2 Ranks, ECC  
HYS64T32000HU–5–B  
HYS64T64000HU–5–B  
HYS72T64000HU–5–B  
HYS64T128020HU–5–B  
HYS72T128020HU–5–B  
256 MB 1R×16 PC2–3200U–333–12–C1  
512 MB 1R×8 PC2–3200U–333–12–D0  
512 MB 1R×8 PC2–3200E–333–12–F0  
1 GB 2R×8 PC2–3200U–333–12–E0  
1 GB 2R×8 PC2–3200E–333–12–G0  
1 Rank, Non-ECC  
1 Rank, Non-ECC  
1 Rank, ECC  
512 Mbit (×16)  
512 Mbit (×8)  
512 Mbit (×8)  
512 Mbit (×8)  
512 Mbit (×8)  
2 Ranks, Non-ECC  
2 Ranks, ECC  
1) All Product Type numbers end with a place code, designating the silicon die revision. Example: HYS64T64000HU–3.7–B, indicating Rev.  
“B” dies are used for DDR2 SDRAM components. For all Qimonda DDR2 module and component nomenclature see Chapter 6 of this data  
sheet.  
2) The Compliance Code is printed on the module label and describes the speed grade, for example “PC2–4200U–444–12–C1”, where  
4200U means Unbuffered DIMM modules with 4.26 GB/sec Module Bandwidth and “444-12” means Column Address Strobe (CAS)  
latency = 4, Row Column Delay (RCD) latency = 4 and Row Precharge (RP) latency = 4 using the latest JEDEC SPD Revision 1.2 and  
produced on the Raw Card “C”.  
Rev. 1.3, 2006-12  
5
03292006-6GMD-RSFT  
Internet Data Sheet  
HYS[64/72]T[32/64/128]xx0HU-[25F/2.5/3/3S/3.7/5]-B  
Unbuffered DDR2 SDRAM Module  
TABLE 3  
Address Format  
DIMM  
Density  
Module  
Organization  
Memory  
Ranks  
ECC/  
Non-ECC  
# of SDRAMs # of row/bank/column  
bits  
Raw  
Card  
256 MByte  
512 MByte  
512 MByte  
1 GByte  
32M × 64  
64M × 64  
72M × 64  
128M × 64  
128M × 72  
1
1
1
2
2
Non-ECC  
Non-ECC  
ECC  
4
13/2/10  
14/2/10  
14/2/10  
14/2/10  
14/2/10  
C
D
F
8
9
Non-ECC  
ECC  
16  
18  
E
G
1 GByte  
TABLE 4  
Components on Modules  
DRAM Organisation Note2)  
32M × 16  
Product Type1)  
DRAM Components1)  
DRAM Density  
HYS64T32000HU  
HYS64T32900HU  
HYB18T512160BF  
512 Mbit  
HYS64T64000HU  
HYS64T64900HU  
HYB18T512800BF  
512 Mbit  
64M × 8  
HYS72T64000HU  
HYB18T512800BF  
HYB18T512800BF  
512 Mbit  
512 Mbit  
64M × 8  
64M × 8  
HYS64T128020HU  
HYS64T128920HU  
HYS72T128020HU  
1) Green Product  
HYB18T512800BF  
512 Mbit  
64M × 8  
2) For a detailed description of all functionalities of the DRAM components on these modules see the component data sheet.  
Rev. 1.3, 2006-12  
6
03292006-6GMD-RSFT  
Internet Data Sheet  
HYS[64/72]T[32/64/128]xx0HU-[25F/2.5/3/3S/3.7/5]-B  
Unbuffered DDR2 SDRAM Module  
2
Pin Configuration  
This chapter describes the Pin Configuration.  
2.1  
Pin Configuration  
This chapter contains the Pin Configuration tables.  
and Table 7 respectively. The pin numbering is depicted in  
Figure 1 for non-ECC modules (×64) and Figure 2 for ECC  
modules 72).  
The pin configuration of the Unbuffered DDR2 SDRAM DIMM  
is listed by function in Table 5 (240 pins). The abbreviations  
used in columns Pin and Buffer Type are explained in Table 6  
TABLE 5  
Pin Configuration of UDIMM  
Ball No.  
Name Pin  
Buffer Function  
Type Type  
Clock Signals  
185  
137  
220  
186  
138  
221  
52  
CK0  
CK1  
CK2  
CK0  
CK1  
CK2  
CKE0  
CKE1  
NC  
I
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
Clock Signals 2:0, Complement Clock Signals 2:0  
I
I
I
I
I
I
Clock Enable Rank 1:0  
Note: 2 Ranks module  
171  
I
NC  
Not Connected  
Note: 1 Rank module  
Control Signals  
193  
76  
S0#  
S1#  
NC  
I
SSTL  
SSTL  
Chip Select Rank 1:0  
Note: 2 Ranks module  
I
NC  
Not Connected  
Note: 1 Rank module  
Row Address Strobe  
Column Address Strobe  
Write Enable  
192  
RAS  
CAS  
WE  
I
I
I
SSTL  
SSTL  
SSTL  
74  
73  
Address Signals  
71  
BA0  
BA1  
BA2  
I
I
I
SSTL  
SSTL  
SSTL  
Bank Address Bus 1:0  
190  
54  
Bank Address Bus 2  
Greater than 512Mb DDR2 SDRAMS  
NC  
NC  
Not Connected  
Less than 1Gb DDR2 SDRAMS  
Rev. 1.3, 2006-12  
7
03292006-6GMD-RSFT  
Internet Data Sheet  
HYS[64/72]T[32/64/128]xx0HU-[25F/2.5/3/3S/3.7/5]-B  
Unbuffered DDR2 SDRAM Module  
Ball No.  
Name Pin  
Buffer Function  
Type Type  
188  
183  
63  
A0  
I
I
I
I
I
I
I
I
I
I
I
I
I
I
I
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
Address Bus 12:0  
A1  
A2  
182  
61  
A3  
A4  
60  
A5  
180  
58  
A6  
A7  
179  
177  
70  
A8  
A9  
A10  
AP  
A11  
A12  
A13  
57  
176  
196  
Address Signal 13  
Note: 1 Gbit based module and 512M ×4/×8  
Not Connected  
NC  
NC  
Note: Module based on 1 Gbit ×16  
Module based on 512 Mbit ×16 or smaller  
174  
A14  
NC  
I
SSTL  
Address Signal 14  
Note: Modules based on 2 Gbit  
Not Connected  
NC  
Note: Modules based on 1 Gbit or smaller  
Data Signals  
3
DQ0  
DQ1  
DQ2  
DQ3  
DQ4  
DQ5  
DQ6  
DQ7  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
Data Bus 63:0  
Data Input/Output pins  
4
9
10  
122  
123  
128  
129  
Rev. 1.3, 2006-12  
8
03292006-6GMD-RSFT  
Internet Data Sheet  
HYS[64/72]T[32/64/128]xx0HU-[25F/2.5/3/3S/3.7/5]-B  
Unbuffered DDR2 SDRAM Module  
Ball No.  
Name Pin  
Buffer Function  
Type Type  
12  
DQ8  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
Data Bus 63:0  
Data Input/Output pins  
13  
DQ9  
21  
DQ10  
DQ11  
DQ12  
DQ13  
DQ14  
DQ15  
DQ16  
DQ17  
DQ18  
DQ19  
DQ20  
DQ21  
DQ22  
DQ23  
DQ24  
DQ25  
DQ26  
DQ27  
DQ28  
DQ29  
DQ30  
DQ31  
DQ32  
DQ33  
DQ34  
DQ35  
DQ36  
DQ37  
DQ38  
DQ39  
DQ40  
DQ41  
DQ42  
DQ43  
DQ44  
DQ45  
DQ46  
DQ47  
22  
131  
132  
140  
141  
24  
25  
30  
31  
143  
144  
149  
150  
33  
34  
39  
40  
152  
153  
158  
159  
80  
81  
86  
87  
199  
200  
205  
206  
89  
90  
95  
96  
208  
209  
214  
215  
Rev. 1.3, 2006-12  
9
03292006-6GMD-RSFT  
Internet Data Sheet  
HYS[64/72]T[32/64/128]xx0HU-[25F/2.5/3/3S/3.7/5]-B  
Unbuffered DDR2 SDRAM Module  
Ball No.  
Name Pin  
Buffer Function  
Type Type  
98  
DQ48  
DQ49  
DQ50  
DQ51  
DQ52  
DQ53  
DQ54  
DQ55  
DQ56  
DQ57  
DQ58  
DQ59  
DQ60  
DQ61  
DQ62  
DQ63  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
Data Bus 63:0  
Data Input/Output pins  
99  
107  
108  
217  
218  
226  
227  
110  
111  
116  
117  
229  
230  
235  
236  
Check Bit Signals  
42  
CB0  
NC  
I/O  
NC  
I/O  
NC  
I/O  
NC  
I/O  
NC  
I/O  
NC  
I/O  
NC  
SSTL  
Check Bit 0  
Note: ECC type module only  
Not Connected  
Note: ECC type module only  
Check Bit 1  
43  
CB1  
NC  
SSTL  
Note: ECC type module only  
Not Connected  
Note: ECC type module only  
Check Bit 2  
48  
CB2  
NC  
SSTL  
Note: ECC type module only  
Not Connected  
Note: ECC type module only  
Check Bit 3  
49  
CB3  
NC  
SSTL  
Note: ECC type module only  
Not Connected  
Note: ECC type module only  
Check Bit 4  
161  
162  
CB4  
NC  
SSTL  
Note: ECC type module only  
Not Connected  
Note: ECC type module only  
Check Bit 5  
CB5  
NC  
SSTL  
Note: ECC type module only  
Not Connected  
Note: ECC type module only  
Rev. 1.3, 2006-12  
10  
03292006-6GMD-RSFT  
Internet Data Sheet  
HYS[64/72]T[32/64/128]xx0HU-[25F/2.5/3/3S/3.7/5]-B  
Unbuffered DDR2 SDRAM Module  
Ball No.  
Name Pin  
Buffer Function  
Type Type  
167  
CB6  
NC  
I/O SSTL  
Check Bit 6  
Note: ECC type module only  
Not Connected  
NC  
Note: ECC type module only  
Check Bit 7  
168  
CB7  
NC  
I/O  
NC  
SSTL  
Note: ECC type module only  
Not Connected  
Note: Non-ECC module  
Data Strobe Bus  
7
DQS0 I/O  
DQS1 I/O  
DQS2 I/O  
DQS3 I/O  
DQS4 I/O  
DQS5 I/O  
DQS6 I/O  
DQS7 I/O  
DQS8 I/O  
DQS0 I/O  
DQS1 I/O  
DQS2 I/O  
DQS3 I/O  
DQS4 I/O  
DQS5 I/O  
DQS6 I/O  
DQS7 I/O  
DQS8 I/O  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
Data Strobe Bus 8:0  
16  
28  
37  
84  
93  
105  
114  
46  
6
Complement Data Strobe Bus 8:0  
15  
27  
36  
83  
92  
104  
113  
45  
Data Mask Signals  
125  
DM0  
DM1  
DM2  
DM3  
DM4  
DM5  
DM6  
DM7  
DM8  
I
I
I
I
I
I
I
I
I
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
Data Mask Bus 8:0  
134  
146  
155  
202  
211  
223  
232  
164  
EEPROM  
120  
SCL  
SDA  
I
CMOS Serial Bus Clock  
119  
I/O  
OD  
Serial Bus Data  
Rev. 1.3, 2006-12  
11  
03292006-6GMD-RSFT  
Internet Data Sheet  
HYS[64/72]T[32/64/128]xx0HU-[25F/2.5/3/3S/3.7/5]-B  
Unbuffered DDR2 SDRAM Module  
Ball No.  
Name Pin  
Buffer Function  
Type Type  
239  
SA0  
SA1  
SA2  
I
I
I
CMOS Serial Address Select Bus 2:0  
240  
CMOS  
CMOS  
101  
Power Supplies  
1
VREF  
AI  
I/O Reference Voltage  
EEPROM Power Supply  
I/O Driver Power Supply  
238  
VDDSPD PWR  
51,56,62,72,75,,  
78,170,175,181,,  
191,194  
VDDQ  
VDD  
VSS  
PWR  
PWR  
GND  
53,59,64,67,69,,  
172,178,184,187,  
189,197  
Power Supply  
Ground Plane  
2,5,8,11,14,17,,  
20,23,26,29,32,  
35,38,41,44,47,,  
50,65,66,79,82,  
85,88,91,94,97,,  
100,103,106,  
109,112,115,118,  
121,124,127,,  
130,133,136,139,  
142,145,148,,  
151,154,157,160,  
163,166,169,  
198,201,204,207,  
210,213,216,,  
219,222,225,228,  
231,234,237  
Other Pins  
195  
77  
ODT0  
ODT1  
I
I
SSTL  
SSTL  
On-Die Termination Control 0  
On-Die Termination Control 1  
Note: 2 Rank modules  
NC  
NC  
NC  
Not Connected  
Note: 1 Rank modules  
18,19,55,68,102,1 NC  
26,135,147,  
Not connected  
Note: Pins not connected on Qimonda UDIMMs  
156,165,173,203,  
212, 224,233  
Rev. 1.3, 2006-12  
12  
03292006-6GMD-RSFT  
Internet Data Sheet  
HYS[64/72]T[32/64/128]xx0HU-[25F/2.5/3/3S/3.7/5]-B  
Unbuffered DDR2 SDRAM Module  
TABLE 6  
Abbreviations for Pin Type  
Abbreviation  
Description  
I
Standard input-only pin. Digital levels.  
Output. Digital levels.  
I/O is a bidirectional input/output signal.  
Input. Analog levels.  
Power  
O
I/O  
AI  
PWR  
GND  
NC  
Ground  
Not Connected  
TABLE 7  
Abbreviations for Buffer Type  
Abbreviation  
Description  
SSTL  
Serial Stub Terminated Logic (SSTL_18)  
Low Voltage CMOS  
LV-CMOS  
CMOS  
OD  
CMOS Levels  
Open Drain. The corresponding pin has 2 operational states, active low and tri-state,  
and allows multiple devices to share as a wire-OR.  
Rev. 1.3, 2006-12  
13  
03292006-6GMD-RSFT  
                                                                                                                                                                                       
                                                         
                                                         
                                                           
                                                            
                                                             
                                                                                                   
                                                                                                   
                                                                                                     
                                                                                                      
                                                                                                       
                                                                                                           
                                                                                                            
                                                                          
                                   
                                     
                                          
                                          
                                            
                                            
                                             
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                     
                                                                                                                      
                                                                                                                          
                                                                                                                           
                                                 
                                                  
                                                         
                                                         
                                                           
                                                            
                                                             
                                                                                                   
                                                                                                   
                                                                                                     
                                                                                                      
                                                                                                       
                                                                                                                                                                
                                          
                                          
                                            
                                            
                                             
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                     
                                                                                                                      
                                                                                                                          
                                                                                                                           
                                                      
                                                 
                                                  
                                                    
                                                         
                                                         
                                                           
                                                            
                                                             
                                                                                                   
                                                                                                   
                                                                                                     
                                                                                                      
                                                                                                       
                                                                                                           
                                   
                                     
                                      
                                          
                                          
                                            
                                            
                                             
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                     
                                                                                                                      
                                                                                                                                                                                       
                                                         
                                                         
                                                           
                                                            
                                                             
                                                                                                   
                                                                                                   
                                                                                                     
                                                                                                      
                                                                                                       
                                                                                                           
                                                                                                            
                                                                          
                                   
                                     
                                          
                                          
                                            
                                            
                                             
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                     
                                                                                                                      
                                                                                                                          
                                                                                                                           
                                                 
                                                  
                                                         
                                                         
                                                           
                                                            
                                                             
                                                                                                   
                                                                                                   
                                                                                                     
                                                                                                      
                                                                                                       
                                                                                                                                                                
                                          
                                          
                                            
                                            
                                             
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                     
                                                                                                                      
                                                                                                                          
                                                                                                                           
                                                                                                                            
                                                      
                                                 
                                                  
                                                         
                                                         
                                                           
                                                            
                                                             
                                                                                                   
                                                                                                   
                                                                                                     
                                                                                                      
                                                                                                       
                                                                                                           
                                                                                                            
                                                                                                             
                                   
                                     
                                          
                                          
                                            
                                            
                                             
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                     
                                                                                                                      
                                                                                                                                                                                       
                                                         
                                                         
                                                           
                                                            
                                                             
                                                                                                   
                                                                                                   
                                                                                                     
                                                                                                      
                                                                                                       
                                                                                                           
                                                                                                            
                                                                          
                                   
                                     
                                      
                                          
                                          
                                            
                                            
                                             
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                     
                                                                                                                      
                                                                                                                          
                                                 
                                                  
                                                    
                                                         
                                                         
                                                           
                                                            
                                                             
                                                                                                   
                                                                                                   
                                                                                                     
                                                                                                      
                                                                                                       
                                                                                                                                                                
                                          
                                          
                                            
                                            
                                             
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                     
                                                                                                                      
                                                                                                                          
                                                                                                                           
                                                      
                                                 
                                                         
                                                         
                                                           
                                                            
                                                             
                                                                                                   
                                                                                                   
                                                                                                     
                                                                                                      
                                                                                                       
                                                                                                           
                                                                                                            
                                   
                                          
                                          
                                            
                                            
                                             
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                     
                                                                                                                      
                                                                                                                                                                                       
                                                         
                                                         
                                                           
                                                            
                                                             
                                                                                                   
                                                                                                   
                                                                                                     
                                                                                                      
                                                                                                       
                                                                                                           
                                                                                                            
                                                                                                             
                                                                          
                                   
                                     
                                      
                                                                                                                          
                                                                                                                           
                                                                                                                            
                                          
                                          
                                            
                                            
                                             
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                     
                                                                                                                      
                                                 
                                                  
                                                   
                                                         
                                                         
                                                           
                                                            
                                                             
                                                                                                   
                                                                                                   
                                                                                                     
                                                                                                      
                                                                                                       
                                                                                                                                                                
                                          
                                          
                                            
                                            
                                             
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                     
                                                                                                                      
                                                                                                                          
                                                                                                                           
                                                                                                                            
                                                      
                                                 
                                                  
                                                   
                                                         
                                                         
                                                           
                                                            
                                                             
                                                                                                   
                                                                                                   
                                                                                                     
                                                                                                      
                                                                                                       
                                                                                                           
                                                                                                            
                                                                                                             
                                   
                                     
                                      
                                          
                                          
                                            
                                            
                                             
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                     
                                                                                                                      
                                                                                                                                                                                       
                                                         
                                                         
                                                           
                                                            
                                                             
                                                                                                   
                                                                                                   
                                                                                                     
                                                                                                      
                                                                                                       
                                                                                                           
                                                                                                            
                                                                          
                                   
                                     
                                      
                                          
                                          
                                            
                                            
                                             
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                     
                                                                                                                      
                                                                                                                          
                                                 
                                                  
                                                    
                                                         
                                                         
                                                           
                                                            
                                                             
                                                                                                   
                                                                                                   
                                                                                                     
                                                                                                      
                                                                                                       
                                                                                                                                                                
                                          
                                          
                                            
                                            
                                             
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                     
                                                                                                                      
                                                                                                                          
                                                                                                                           
                                                                                                                            
                                                      
                                                 
                                                  
                                                   
                                                         
                                                         
                                                           
                                                            
                                                             
                                                                                                   
                                                                                                   
                                                                                                     
                                                                                                      
                                                                                                       
                                                                                                           
                                                                                                            
                                                                                                             
                                   
                                     
                                      
                                          
                                          
                                            
                                            
                                             
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                     
                                                                                                                      
                                                                                                                                                                                       
                                                         
                                                         
                                                           
                                                            
                                                             
                                                                                                   
                                                                                                   
                                                                                                     
                                                                                                      
                                                                                                       
                                                                                                           
                                                                                                            
                                                                                                             
                                                                          
                                   
                                     
                                      
                                          
                                          
                                            
                                            
                                             
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                     
                                                                                                                      
                                                                                                                          
                                                                                                                           
                                                                                                                            
                                                 
                                                  
                                                   
                                                         
                                                         
                                                           
                                                            
                                                             
                                                                                                   
                                                                                                   
                                                                                                     
                                                                                                      
                                                                                                       
                                                                                                                                                                
                                          
                                          
                                            
                                            
                                             
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                     
                                                                                                                      
                                                                                                                          
                                                                                                                           
                                                      
                                                 
                                                  
                                                    
                                                         
                                                         
                                                           
                                                            
                                                             
                                                                                                   
                                                                                                   
                                                                                                     
                                                                                                      
                                                                                                       
                                                                                                           
                                   
                                     
                                      
                                          
                                          
                                            
                                            
                                             
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                     
                                                                                                                      
                                                                                                                                                                                       
                                                         
                                                         
                                                           
                                                            
                                                             
                                                                                                   
                                                                                                   
                                                                                                     
                                                                                                      
                                                                                                       
                                                                                                           
                                                                                                            
                                                                                                             
                                                                          
                                   
                                     
                                      
                                          
                                          
                                            
                                            
                                             
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                     
                                                                                                                      
                                                                                                                          
                                                                                                                           
                                                                                                                            
                                                 
                                                  
                                                   
                                                         
                                                         
                                                           
                                                            
                                                             
                                                                                                   
                                                                                                   
                                                                                                     
                                                                                                      
                                                                                                       
                                                                                                                                                                
                                          
                                          
                                            
                                            
                                             
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                     
                                                                                                                      
                                                                                                                          
                                                      
                                                 
                                                         
                                                         
                                                           
                                                            
                                                             
                                                                                                   
                                                                                                   
                                                                                                     
                                                                                                      
                                                                                                       
                                                                                                           
                                   
                                          
                                          
                                            
                                            
                                             
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                     
                                                                                                                      
                                                                                                                                                                                       
                                                         
                                                         
                                                           
                                                            
                                                             
                                                                                                   
                                                                                                   
                                                                                                     
                                                                                                      
                                                                                                       
                                                                                                           
                                                                          
                                   
                                          
                                          
                                            
                                            
                                             
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                     
                                                                                                                      
                                                                                                                          
                                                 
                                                         
                                                         
                                                           
                                                            
                                                             
                                                                                                   
                                                                                                   
                                                                                                     
                                                                                                      
                                                                                                       
                                                                                                                                                                
                                          
                                          
                                            
                                            
                                             
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                     
                                                                                                                      
                                                                                                                          
                                                      
                                                 
                                                         
                                                         
                                                           
                                                            
                                                             
                                                                                                   
                                                                                                   
                                                                                                     
                                                                                                      
                                                                                                       
                                                                                                           
                                   
                                          
                                          
                                            
                                            
                                             
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                     
                                                                                                                      
                                                                                                                                                                                       
                                                         
                                                         
                                                           
                                                            
                                                             
                                                                                                   
                                                                                                   
                                                                                                     
                                                                                                      
                                                                                                       
                                                                          
                                                                                                                                                                
                                                                                                                                                                 
                                          
                                          
                                            
                                            
                                             
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                     
                                                                                                                      
                                                                                                                          
                                                                                                                           
                                                                                                                            
                                                      
                                                       
                                                 
                                                  
                                                   
                                                         
                                                         
                                                           
                                                            
                                                             
                                                                                                   
                                                                                                   
                                                                                                     
                                                                                                      
                                                                                                       
                                                                                                                                                                
                                          
                                          
                                            
                                            
                                             
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                     
                                                                                                                      
                                                                                                                          
                                                      
                                                 
                                                   
                                                    
                                                     
                                                         
                                                         
                                                           
                                                            
                                                             
                                                                                                   
                                                                                                   
                                                                                                     
                                                                                                      
                                                                                                       
                                                                                                          
                                                                                                            
                                   
                                          
                                          
                                            
                                            
                                             
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                     
                                                                                                                      
                                                                                                                                                                                       
                                                                                                                                                                                        
                                                         
                                                         
                                                           
                                                            
                                                             
                                                                                                   
                                                                                                   
                                                                                                     
                                                                                                      
                                                                                                       
                                                                                                          
                                                                                                            
                                                                          
                                                                           
                                   
                                    
                                          
                                          
                                            
                                            
                                             
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                     
                                                                                                                      
                                                                                                                          
                                                 
                                                         
                                                         
                                                           
                                                            
                                                             
                                                                                                   
                                                                                                   
                                                                                                     
                                                                                                      
                                                                                                       
                                                                                                                                                                
                                          
                                          
                                            
                                            
                                             
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                     
                                                                                                                      
                                                                                                                          
                                                      
                                                 
                                                         
                                                         
                                                           
                                                            
                                                             
                                                                                                   
                                                                                                   
                                                                                                     
                                                                                                      
                                                                                                       
                                                                                                          
                                   
                                          
                                          
                                            
                                            
                                             
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                     
                                                                                                                      
                                                                                                                                                                                       
                                                                                                                                                                                        
                                                         
                                                         
                                                           
                                                            
                                                             
                                                                                                   
                                                                                                   
                                                                                                     
                                                                                                      
                                                                                                       
                                                                                                          
                                                                          
                                                                           
                                   
                                          
                                          
                                            
                                            
                                             
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                     
                                                                                                                      
                                                                                                                          
                                                         
                                                         
                                                           
                                                            
                                                             
                                                                                                   
                                                                                                   
                                                                                                     
                                                                                                      
                                                                                                       
                                                                          
                                                                                                                                                                
9ꢀ  
66ꢀ  
3  
                                          
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
                                          
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
                                            
ꢁꢊ  
ꢁꢊ  
ꢁꢊ  
ꢁꢅ  
ꢁꢅ  
ꢁꢅ  
ꢁꢅ  
ꢁꢅ  
ꢁꢋ  
ꢁꢋ  
ꢁꢋ  
ꢁꢋ  
ꢁꢋ  
ꢁꢆ  
ꢁꢆ  
ꢁꢆ  
ꢁꢆ  
ꢁꢆ  
ꢂꢁ  
ꢂꢁ  
ꢂꢁ  
ꢂꢁ  
ꢂꢁ  
ꢂꢂ  
ꢂꢂ  
ꢂꢂ  
ꢂꢂ  
ꢂꢂ  
                                            
                                             
ꢃꢀ  
ꢅꢀ  
ꢆꢀ  
ꢂꢀ  
ꢄꢀ  
ꢃꢀ  
ꢅꢀ  
ꢆꢀ  
ꢂꢀ  
ꢄꢀ  
ꢃꢀ  
ꢅꢀ  
ꢆꢀ  
ꢂꢀ  
ꢄꢀ  
ꢃꢀ  
ꢅꢀ  
ꢆꢀ  
ꢂꢀ  
ꢄꢀ  
ꢃꢀ  
ꢅꢀ  
ꢆꢀ  
ꢂꢀ  
ꢄꢀ  
ꢃꢀ  
ꢅꢀ  
ꢆꢀ  
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
                                                                                                                  
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
                                                                                                                   
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
                                                                                                                    
ꢂꢋ  
ꢂꢋ  
ꢂꢋ  
ꢂꢆ  
ꢂꢆ  
ꢂꢆ  
ꢂꢆ  
ꢂꢆ  
ꢈꢁ  
ꢈꢁ  
ꢈꢁ  
ꢈꢁ  
ꢈꢁ  
ꢈꢂ  
ꢈꢂ  
ꢈꢂ  
ꢈꢂ  
ꢈꢂ  
ꢈꢈ  
ꢈꢈ  
ꢈꢈ  
ꢈꢈ  
ꢈꢈ  
ꢈꢄ  
ꢈꢄ  
ꢈꢄ  
ꢈꢄ  
ꢈꢄ  
                                                                                                                     
                                                                                                                      
ꢃꢀꢇꢀ &  
                                                                                                                          
                                                                                                                           
.ꢁꢀ  
                                                      
9ꢀ  
66ꢀ  
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
                                                         
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
                                                         
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
                                                           
                                                            
                                                             
ꢊꢀ  
ꢋꢀ  
ꢁꢀ  
ꢈꢀ  
ꢉꢀ  
ꢊꢀ  
ꢋꢀ  
ꢁꢀ  
ꢈꢀ  
ꢉꢀ  
ꢊꢀ  
ꢋꢀ  
ꢁꢀ  
ꢈꢀ  
ꢉꢀ  
ꢊꢀ  
ꢋꢀ  
ꢁꢀ  
ꢈꢀ  
ꢉꢀ  
ꢊꢀ  
ꢋꢀ  
ꢁꢀ  
ꢈꢀ  
ꢉꢀ  
ꢊꢀ  
ꢋꢀ  
ꢁꢀ  
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
                                                                                                   
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
                                                                                                   
                                                                                                     
ꢂꢋ  
ꢂꢋ  
ꢂꢆ  
ꢂꢆ  
ꢂꢆ  
ꢂꢆ  
ꢂꢆ  
ꢈꢁ  
ꢈꢁ  
ꢈꢁ  
ꢈꢁ  
ꢈꢁ  
ꢈꢂ  
ꢈꢂ  
ꢈꢂ  
ꢈꢂ  
ꢈꢂ  
ꢈꢈ  
ꢈꢈ  
ꢈꢈ  
ꢈꢈ  
ꢈꢈ  
ꢈꢄ  
ꢈꢄ  
ꢈꢄ  
ꢈꢄ  
ꢈꢄ  
ꢈꢉ  
                                                                                                      
                                                                                                       
ꢊꢀ  
ꢋꢀ  
ꢁꢀ  
ꢈꢀ  
&
                                                                                                           
                                                                                                            
.
ꢁꢀ  
ꢁꢀ  
ꢂꢀ  
                                                                          
9ꢀ 'ꢀ 3  
                                          
                                          
                                            
                                            
                                             
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                     
                                                                                                                      
ꢅꢀꢇꢀ 9ꢀ  
ꢆꢀꢇꢀ 9ꢀ  
'
                                                      
''  
                                                                                                                                                                                       
1
                                                 
                                                         
                                                         
                                                           
                                                            
                                                             
                                                                                                   
                                                                                                   
                                                                                                     
                                                                                                      
                                                                                                       
$
%
                                                                                                          
&
9ꢀ 'ꢀ 3  
                                          
                                          
                                            
                                            
                                             
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                     
                                                                                                                      
'
                                                      
''  
                                                                                                                                                                                       
$
                                                 
                                                  
ꢁꢌ  
                                                   
$
                                                    
3ꢀ  
                                                         
                                                         
                                                           
                                                            
                                                             
                                                                                                   
                                                                                                   
                                                                                                     
                                                                                                      
                                                                                                       
                                                                                                           
$
                                                                                                            
%
                                   
$
                                    
ꢁꢀ 3  
                                          
                                          
                                            
                                            
                                             
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                     
                                                                                                                      
ꢂꢀꢇꢀ 9ꢀ  
''  
                                                                                                                                                                                       
                                                                                                                                                                                        
4ꢀ  
9ꢀ  
                                                         
                                                         
                                                           
                                                            
                                                             
                                                                                                   
                                                                                                   
                                                                                                     
                                                                                                      
                                                                                                       
5
                                                                                                           
                                                                                                            
$
6ꢀ  
''  
                                                                          
                                                                           
4ꢀ  
:
                                    
(ꢀ 3  
                                          
                                          
                                            
                                            
                                             
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                     
                                                                                                                      
ꢄꢀꢇꢀ 6ꢁꢀ  
ꢃꢀꢇꢀ 2'  
ꢅꢀꢇꢀ 9ꢀ  
''ꢀ  
                                                                                                                          
&
1
                                                 
                                                  
6ꢀ  
                                                         
                                                         
                                                           
                                                            
                                                             
                                                                                                   
                                                                                                   
                                                                                                     
                                                                                                      
                                                                                                       
$
&
ꢉꢀ 9ꢀ '4  
'
                                                                                                                                                                
                                                                                                                                                                 
9ꢀ '4 3  
                                          
                                          
                                            
                                            
                                             
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                     
                                                                                                                      
                                                                                                                          
                                                                                                                           
                                                                                                                            
7ꢁꢀ  
'
                                                      
                                                       
                                                 
                                                   
6
                                                    
ꢂꢀ  
                                                         
                                                         
                                                           
                                                            
                                                             
                                                                                                   
                                                                                                   
                                                                                                     
                                                                                                      
                                                                                                       
ꢊꢀ  
                                                                                                           
                                                                                                            
                                                                                                             
                                                                                                              
&ꢌ$  
ꢄꢀ  
2'  
                                   
                                     
                                      
ꢂꢀꢇꢀ3  
3  
                                          
                                          
                                            
                                            
                                             
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                     
                                                                                                                      
7
                                                                                                                                                                                       
9ꢀ  
                                                         
                                                         
                                                           
                                                            
                                                             
                                                                                                   
                                                                                                   
                                                                                                     
                                                                                                      
                                                                                                       
''  
                                                                          
                                                                           
4ꢀ  
                                                                                                                                                                
9ꢀ  
                                          
                                          
                                            
                                            
                                             
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                     
                                                                                                                      
ꢆꢀꢇꢀ '  
ꢂꢀ  
                                                                                                                          
                                                                                                                           
                                                                                                                            
4ꢄ  
ꢊꢀ  
ꢋꢀ  
66  
                                                      
'
                                                 
                                                  
                                                   
ꢈꢀ  
                                                         
                                                         
                                                           
                                                            
                                                             
                                                                                                   
                                                                                                   
                                                                                                     
                                                                                                      
                                                                                                       
ꢁꢀ  
ꢈꢀ  
                                                                                                           
                                                                                                            
ꢄꢅ  
ꢉꢀ  
                                                                                                             
4ꢄ  
4
0
3
                                          
                                          
                                            
                                            
                                             
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                     
                                                                                                                      
'
'
                                   
                                     
                                      
4ꢄ  
 
ꢇꢀ 9ꢀ  
66  
                                                                                                                                                                                       
9ꢀ  
                                                         
                                                         
                                                           
                                                            
                                                             
                                                                                                   
                                                                                                   
                                                                                                     
                                                                                                      
                                                                                                       
                                                                                                           
                                                                                                            
66  
                                                                          
                                   
                                     
                                      
ꢉꢀꢇ3  
                                          
                                          
                                            
                                            
                                             
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                     
                                                                                                                      
ꢄꢀꢇꢀ 1  
ꢃꢀ  
                                                                                                                          
46  
&ꢀ  
4ꢄ  
'
'
                                                 
6
                                                  
                                                    
ꢉꢀ  
ꢉꢀ  
                                                         
                                                         
                                                           
                                                            
                                                             
                                                                                                   
                                                                                                   
                                                                                                     
                                                                                                      
                                                                                                       
4
66  
                                                                                                                                                                
3
                                          
                                          
                                            
                                            
                                             
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                     
                                                                                                                      
9ꢀ  
ꢇꢀ  
ꢇꢀ '  
                                                                                                                          
                                                                                                                           
                                                                                                                            
66  
                                                      
                                                 
                                                  
                                                   
                                                         
                                                         
                                                           
                                                            
                                                             
                                                                                                   
                                                                                                   
                                                                                                     
                                                                                                      
                                                                                                       
ꢊꢀ  
ꢋꢀ  
'
'
                                                                                                           
                                                                                                            
ꢄꢆ  
ꢉꢉ  
                                                                                                             
4ꢄ  
4
4
'
'
                                   
                                     
                                      
3  
                                          
                                          
                                            
                                            
                                             
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                     
                                                                                                                      
4
4ꢉ  
ꢅꢀꢇꢀ 9ꢀ  
66  
                                                                                                                                                                                       
9ꢀ  
                                                         
                                                         
                                                           
                                                            
                                                             
                                                                                                   
                                                                                                   
                                                                                                     
                                                                                                      
                                                                                                       
                                                                                                           
                                                                                                            
                                                                                                             
66  
                                                                          
3
                                          
                                          
                                            
                                            
                                             
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                     
                                                                                                                      
ꢆꢀ  
ꢇꢀ '  
ꢂꢀꢇꢀ '  
                                   
                                     
                                      
 
                                                                                                                          
                                                                                                                           
                                                                                                                            
4ꢉ  
0ꢃ  
ꢃꢀ  
'
'
                                                 
                                                  
                                                   
ꢂꢀ  
ꢃꢀ  
                                                         
                                                         
                                                           
                                                            
                                                             
                                                                                                   
                                                                                                   
                                                                                                     
                                                                                                      
                                                                                                       
4
66  
                                                                                                                                                                
9ꢀ  
3  
ꢃꢀꢇ3  
3  
3  
                                          
                                          
                                            
                                            
                                             
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                     
                                                                                                                      
                                                                                                                          
                                                                                                                           
66ꢀ  
                                                      
                                                 
                                                  
                                                    
                                                         
                                                         
                                                           
                                                            
                                                             
                                                                                                   
                                                                                                   
                                                                                                     
                                                                                                      
                                                                                                       
ꢈꢀ  
ꢉꢀ  
                                                                                                           
46  
&ꢀ  
4
'
'
                                   
6
                                     
                                      
                                          
                                          
                                            
                                            
                                             
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                     
                                                                                                                      
4
ꢄꢀꢇꢀ 9ꢀ  
66  
                                                                                                                                                                                       
9ꢀ  
                                                         
                                                         
                                                           
                                                            
                                                             
                                                                                                   
                                                                                                   
                                                                                                     
                                                                                                      
                                                                                                       
                                                                                                           
                                                                                                            
ꢉꢊ  
                                                                                                             
66  
                                                                          
                                   
                                     
                                      
                                          
                                          
                                            
                                            
                                             
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                     
                                                                                                                      
ꢃꢀꢇꢀ '  
ꢅꢀꢇꢀ '  
ꢆꢀ  
ꢇꢀ 9ꢀ  
66ꢀ  
                                                                                                                          
                                                                                                                           
                                                                                                                            
4ꢉ  
4ꢉ  
4ꢃ  
ꢅꢀ  
ꢈꢀ  
'
'
                                                 
                                                  
                                                   
ꢄꢀ  
ꢋꢀ  
                                                         
                                                         
                                                           
                                                            
                                                             
                                                                                                   
                                                                                                   
                                                                                                     
                                                                                                      
                                                                                                       
4
ꢊꢀ 9ꢀ  
66  
                                                                                                                                                                
9ꢀ  
                                          
                                          
                                            
                                            
                                             
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                     
                                                                                                                      
                                                                                                                          
                                                                                                                           
                                                                                                                            
66ꢀ  
                                                      
                                                 
                                                  
                                                   
                                                         
                                                         
                                                           
                                                            
                                                             
                                                                                                   
                                                                                                   
                                                                                                     
                                                                                                      
                                                                                                       
ꢋꢀ  
ꢁꢀ  
                                                                                                           
                                                                                                            
ꢃꢄ  
                                                                                                             
4ꢉ  
4
.ꢈꢀ  
3
                                          
                                          
                                            
                                            
                                             
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                     
                                                                                                                      
'
                                   
                                     
                                      
4ꢉ  
 
                                                                                                                                                                                       
9ꢀ  
                                                         
                                                         
                                                           
                                                            
                                                             
                                                                                                   
                                                                                                   
                                                                                                     
                                                                                                      
                                                                                                       
                                                                                                           
                                                                                                            
66  
                                                                          
6
                                   
$
                                    
ꢈꢀ 3  
                                          
                                          
                                            
                                            
                                             
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                     
                                                                                                                      
ꢂꢀꢇꢀ &.ꢈꢀ  
                                                                                                                          
                                                                                                                           
1
'
                                                 
6
                                                         
                                                         
                                                           
                                                            
                                                             
                                                                                                   
                                                                                                   
                                                                                                     
                                                                                                      
                                                                                                       
&
4
ꢈꢀ 9ꢀ  
ꢇꢀ  
66ꢀ  
                                                                                                                                                                
3
                                          
                                          
                                            
                                            
                                             
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                     
                                                                                                                      
ꢄꢀ  
ꢇꢀ '  
9ꢀ  
ꢇꢀ  
                                                                                                                          
                                                                                                                           
0ꢊ  
66ꢀ  
                                                      
                                                 
                                                  
                                                    
ꢊꢀ  
                                                         
                                                         
                                                           
                                                            
                                                             
                                                                                                   
                                                                                                   
                                                                                                     
                                                                                                      
                                                                                                       
ꢉꢀ  
ꢊꢀ  
1
'
                                                                                                           
&ꢀ  
4
'
'
                                   
6
                                     
                                      
ꢊꢀꢇ3  
                                          
                                          
                                            
                                            
                                             
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                     
                                                                                                                      
4
4ꢃ  
ꢃꢀꢇꢀ 9ꢀ  
66  
                                                                                                                                                                                       
9ꢀ  
                                                         
                                                         
                                                           
                                                            
                                                             
                                                                                                   
                                                                                                   
                                                                                                     
                                                                                                      
                                                                                                       
                                                                                                           
                                                                                                            
ꢃꢉ  
                                                                                                             
66  
                                                                          
3
3  
                                          
                                          
                                            
                                            
                                             
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                     
                                                                                                                      
ꢅꢀ  
ꢇꢀ '  
ꢆꢀꢇꢀ '  
                                   
                                     
                                      
 
                                                                                                                          
                                                                                                                           
                                                                                                                            
4ꢃ  
4ꢊ  
ꢃꢀ  
ꢁꢀ  
'
'
                                                 
                                                  
                                                   
ꢂꢀ  
ꢊꢀ  
                                                         
                                                         
                                                           
                                                            
                                                             
                                                                                                   
                                                                                                   
                                                                                                     
                                                                                                      
                                                                                                       
4
66  
                                                                                                                                                                
9ꢀ  
                                          
                                          
                                            
                                            
                                             
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                     
                                                                                                                      
                                                                                                                          
                                                                                                                           
                                                                                                                            
66ꢀ  
                                                      
                                                 
                                                  
                                                   
                                                         
                                                         
                                                           
                                                            
                                                             
                                                                                                   
                                                                                                   
                                                                                                     
                                                                                                      
                                                                                                       
ꢁꢀ  
ꢈꢀ  
                                                                                                           
                                                                                                            
ꢊꢂ  
ꢅꢀ  
                                                                                                             
4ꢃ  
4
0
'
'
                                   
                                     
                                      
3  
ꢅꢀꢇ3  
3  
                                          
                                          
                                            
                                            
                                             
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                     
                                                                                                                      
4
ꢂꢀꢇꢀ 9ꢀ  
66  
                                                                                                                                                                                       
9ꢀ  
                                                         
                                                         
                                                           
                                                            
                                                             
                                                                                                   
                                                                                                   
                                                                                                     
                                                                                                      
                                                                                                       
                                                                                                           
                                                                                                            
66ꢀ  
                                                                          
                                   
                                     
                                      
                                          
                                          
                                            
                                            
                                             
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                     
                                                                                                                      
ꢄꢀꢇꢀ 1  
ꢃꢀꢇꢀ '  
                                                                                                                          
46  
&ꢀ  
'
'
                                                 
6
                                                  
                                                    
ꢅꢀ  
ꢋꢀ  
                                                         
                                                         
                                                           
                                                            
                                                             
                                                                                                   
                                                                                                   
                                                                                                     
                                                                                                      
                                                                                                       
4
ꢉꢀ 9ꢀ  
66  
                                                                                                                                                                
9ꢀ  
                                          
                                          
                                            
                                            
                                             
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                     
                                                                                                                      
                                                                                                                          
                                                                                                                           
                                                                                                                            
4ꢊ  
ꢈꢀ  
66ꢀ  
                                                      
                                                 
                                                  
                                                   
                                                         
                                                         
                                                           
                                                            
                                                             
                                                                                                   
                                                                                                   
                                                                                                     
                                                                                                      
                                                                                                       
ꢊꢀ  
                                                                                                           
                                                                                                            
ꢊꢄ  
                                                                                                             
4ꢃ  
4
3
                                          
                                          
                                            
                                            
                                             
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                     
                                                                                                                      
ꢅꢀ  
ꢆꢀ  
'
                                   
                                     
                                      
4ꢃ  
 
9ꢀ  
6$ꢁꢀ  
66ꢀ  
                                                                                                                                                                                       
9ꢀ  
                                                         
                                                         
                                                           
                                                            
                                                             
                                                                                                   
                                                                                                   
                                                                                                     
                                                                                                      
                                                                                                       
ꢋꢀ 9ꢀ '6  
6$ꢂꢀ  
66  
                                                                          
' 3'ꢀ  
                                                                                                                                                                
                                                                                                                                                                 
                                                                                                                                                                  
                                                                                                                                                                   
6
                                   
'
                                    
3  
                                          
                                          
                                            
                                            
                                             
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                     
                                                                                                                      
                                                                                                                          
                                                                                                                           
$
6
                                                 
&
                                                  
                                                         
                                                         
                                                           
                                                            
                                                             
                                                                                                   
                                                                                                   
                                                                                                     
                                                                                                      
                                                                                                       
ꢁꢀ  
                                                                                                           
                                                                                                            
/
0
                                                                                                                                   
33  
                                                                                                                                    
                                                                                                                                     
7
                                                                                                                                      
ꢁꢂꢃꢁꢀ  
                                                                                                                                       
                                                                                                                                        
                                                                                                                                         
Internet Data Sheet  
HYS[64/72]T[32/64/128]xx0HU-[25F/2.5/3/3S/3.7/5]-B  
Unbuffered DDR2 SDRAM Module  
FIGURE 1  
Pin Configuration UDIMM ×64 (240 Pin)  
9
'
9ꢀ  
'
'
9ꢀ  
'
'
9ꢀ  
1
'
9ꢀ  
'
'
9ꢀ  
'
'
9ꢀ  
'
'
9ꢀ  
1
1
                                   
5
4
                                    
(
                                      
)ꢀꢇꢀ 3  
ꢇꢀ 3  
ꢇꢀ 3  
ꢁꢀꢇꢀ 3  
ꢇꢀ 3  
ꢇꢀ 3  
ꢇꢀ 3  
ꢂꢀꢇꢀ 3  
ꢇꢀ 3  
                                          
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
                                          
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
                                            
ꢁꢁ  
ꢁꢁ  
ꢁꢁ  
ꢁꢁ  
ꢁꢁ  
ꢁꢂ  
ꢁꢂ  
ꢁꢂ  
ꢁꢂ  
ꢁꢂ  
ꢁꢈ  
ꢁꢈ  
ꢁꢈ  
ꢁꢈ  
ꢁꢈ  
ꢁꢄ  
ꢁꢄ  
ꢁꢄ  
ꢁꢄ  
ꢁꢄ  
ꢁꢉ  
ꢁꢉ  
ꢁꢉ  
ꢁꢉ  
ꢁꢉ  
ꢁꢃ  
ꢁꢃ  
ꢁꢃ  
ꢁꢃ  
ꢁꢃ  
ꢁꢊ  
ꢁꢊ  
                                            
                                             
ꢂꢀ  
ꢄꢀ  
ꢃꢀ  
ꢅꢀ  
ꢆꢀ  
ꢂꢀ  
ꢄꢀ  
ꢃꢀ  
ꢅꢀ  
ꢆꢀ  
ꢂꢀ  
ꢄꢀ  
ꢃꢀ  
ꢅꢀ  
ꢆꢀ  
ꢂꢀ  
ꢄꢀ  
ꢃꢀ  
ꢅꢀ  
ꢆꢀ  
ꢂꢀ  
ꢄꢀ  
ꢃꢀ  
ꢅꢀ  
ꢆꢀ  
ꢂꢀ  
ꢄꢀ  
ꢃꢀ  
ꢅꢀ  
ꢆꢀ  
ꢂꢀ  
ꢄꢀ  
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
                                                                                                                  
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
                                                                                                                   
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
                                                                                                                    
ꢂꢈ  
ꢂꢈ  
ꢂꢈ  
ꢂꢈ  
ꢂꢈ  
ꢂꢄ  
ꢂꢄ  
ꢂꢄ  
ꢂꢄ  
ꢂꢄ  
ꢂꢉ  
ꢂꢉ  
ꢂꢉ  
ꢂꢉ  
ꢂꢉ  
ꢂꢃ  
ꢂꢃ  
ꢂꢃ  
ꢂꢃ  
ꢂꢃ  
ꢂꢊ  
ꢂꢊ  
ꢂꢊ  
ꢂꢊ  
ꢂꢊ  
ꢂꢅ  
ꢂꢅ  
ꢂꢅ  
ꢂꢅ  
ꢂꢅ  
ꢂꢋ  
ꢂꢋ  
                                                                                                                     
                                                                                                                      
ꢂꢀꢇꢀ 9ꢀ  
66ꢀ  
9ꢀ  
66ꢀ  
ꢇꢀ 3  
ꢇꢀ 3  
ꢇꢀ 3  
ꢇꢀ 3  
ꢇꢀ 3  
ꢇꢀ 3  
ꢇꢀ 3  
ꢇꢀ 3  
ꢇꢀ 3  
ꢇꢀ 3  
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
ꢈꢀ  
ꢉꢀ  
ꢊꢀ  
ꢋꢀ  
ꢁꢀ  
ꢈꢀ  
ꢉꢀ  
ꢊꢀ  
ꢋꢀ  
ꢁꢀ  
ꢈꢀ  
ꢉꢀ  
ꢊꢀ  
ꢋꢀ  
ꢁꢀ  
ꢈꢀ  
ꢉꢀ  
ꢊꢀ  
ꢋꢀ  
ꢁꢀ  
ꢈꢀ  
ꢉꢀ  
ꢊꢀ  
ꢋꢀ  
ꢁꢀ  
ꢈꢀ  
ꢉꢀ  
ꢊꢀ  
ꢋꢀ  
ꢁꢀ  
ꢈꢀ  
ꢉꢀ  
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
ꢂꢈ  
ꢂꢈ  
ꢂꢈ  
ꢂꢈ  
ꢂꢄ  
ꢂꢄ  
ꢂꢄ  
ꢂꢄ  
ꢂꢄ  
ꢂꢉ  
ꢂꢉ  
ꢂꢉ  
ꢂꢉ  
ꢂꢉ  
ꢂꢃ  
ꢂꢃ  
ꢂꢃ  
ꢂꢃ  
ꢂꢃ  
ꢂꢊ  
ꢂꢊ  
ꢂꢊ  
ꢂꢊ  
ꢂꢊ  
ꢂꢅ  
ꢂꢅ  
ꢂꢅ  
ꢂꢅ  
ꢂꢅ  
ꢂꢋ  
ꢂꢋ  
ꢂꢋ  
ꢈꢀ ꢇꢀ'  
ꢇꢀ  
4
ꢉꢀ  
ꢄꢀꢇꢀ '  
ꢃꢀꢇꢀ '  
4ꢃ  
0ꢁ  
'
'
4
4
6ꢁ  
ꢉꢀ 9ꢀ  
66  
66  
4
4
6
ꢊꢀ ꢇꢀ1  
ꢇꢀ  
&ꢀ  
4
ꢅꢀꢇꢀ 9ꢀ  
66  
9ꢀ  
ꢋꢀ  
'
ꢊꢀ  
66  
ꢆꢀꢇꢀ '  
ꢂꢀꢇꢀ '  
4ꢅ  
4ꢂ  
ꢈꢀ  
'
'
4
4
ꢁꢀ ꢇꢀ9ꢀ  
66  
66  
4
4
ꢇꢀ  
'
ꢈꢀ  
4
0
ꢂꢄ  
ꢂꢀ  
ꢄꢀꢇꢀ 9ꢀ  
66  
9ꢀ  
ꢉꢀ ꢇꢀ'  
ꢊꢀ ꢇꢀ9ꢀ  
66  
ꢋꢀ ꢇꢀ&  
ꢁꢀ ꢇꢀ'  
66ꢀ  
6
ꢃꢀꢇꢀ 1  
ꢅꢀꢇꢀ &  
&ꢀ  
.ꢂꢀ  
'
1
4
6ꢂ  
66  
&
4ꢂ  
&
.ꢂꢀ  
4
ꢇꢀ 3  
ꢆꢀꢇꢀ 9ꢀ  
66  
9ꢀ  
ꢂꢉ  
66ꢀ  
ꢇꢀ  
ꢇꢀ  
ꢁꢀ  
'
4ꢂ  
ꢃꢀ  
ꢁꢀ  
3
3
ꢂꢀꢇꢀ  
ꢇꢀ  
ꢇꢀ  
3
ꢇꢀ  
ꢈꢀ 9ꢀ  
'
'
4
ꢂꢀ  
ꢊꢀ  
3
66  
ꢄꢀꢇꢀ '  
4ꢈ  
66ꢀ  
4
4
ꢇꢀ  
ꢇꢀ  
4ꢂ  
ꢉꢀ  
ꢊꢀ  
'
'
4
0
ꢈꢂ  
ꢈꢀ  
6
3  
ꢈꢀꢇ3  
3  
3  
3  
3  
ꢄꢀꢇ3  
3  
3  
3  
3  
ꢃꢀꢇꢀ 9ꢀ  
66  
)ꢀ  
5ꢀ  
2ꢀ  
1ꢀ  
7ꢀ  
6ꢀ  
,ꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
9ꢀ  
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
%ꢀ  
$ꢀ  
&ꢀ  
.ꢀ  
6ꢀ  
,ꢀ  
66ꢀ  
ꢅꢀꢇꢀ 1  
ꢆꢀꢇꢀ '  
&ꢀ  
4ꢈ  
ꢇꢀ  
'
'
4
6
ꢈꢀ  
ꢋꢀ  
ꢋꢀ 9ꢀ  
66  
ꢈꢀ  
ꢆꢀ  
66  
ꢇꢀ  
'
ꢇꢀ  
'
4ꢂ  
ꢁꢀ  
ꢈꢀ  
4
4
ꢈꢄ  
ꢈꢋ  
4
4
ꢂꢀꢇꢀ 9ꢀ  
66  
9ꢀ  
66  
ꢄꢀꢇꢀ '  
ꢃꢀꢇꢀ '  
4ꢈ  
0ꢄ  
ꢇꢀ  
ꢉꢀ 9ꢀ  
'
'
4
ꢃꢀ  
ꢄꢀ  
66  
66ꢀ  
ꢇꢀ  
1
ꢇꢀ  
'
46  
ꢊꢀ  
ꢋꢀ  
&ꢀ  
4
4
4
6
ꢅꢀꢇꢀ 9ꢀ  
'ꢀ  
(ꢀ  
66  
9ꢀ  
ꢄꢁ  
66  
'ꢀ  
(ꢀ  
ꢆꢀꢇꢀ '  
ꢂꢀꢇꢀ 1  
4ꢄ  
&ꢀ  
ꢂꢀ  
ꢇꢀ  
ꢁꢀ 9ꢀ  
'
1
4
ꢅꢀ  
66  
66  
&
&
ꢇꢀ  
ꢇꢀ  
&
ꢈꢀ  
ꢉꢀ  
1
1
&ꢀ  
&ꢀ  
ꢄꢀꢇꢀ 9ꢀ  
66  
9ꢀ  
66  
ꢃꢀꢇꢀ 1  
ꢅꢀꢇꢀ 1  
&ꢀ  
&ꢀ  
ꢇꢀ  
1
1
&
&
ꢊꢀ 9ꢀ  
66  
9ꢀ  
1
3  
3  
66  
ꢇꢀ  
ꢇꢀ  
ꢋꢀ  
1
&ꢀ  
&
ꢆꢀꢇꢀ 9ꢀ  
66  
9ꢀ  
ꢁꢀ 9ꢀ '4  
66  
'
9ꢀ '4 3  
9ꢀ 'ꢀ 3  
1
$
9ꢀ 'ꢀ 3  
$
$
ꢂꢀꢇꢀ &  
ꢄꢀꢇꢀ 1  
.
&ꢀ  
(
ꢂꢀ  
'
ꢇꢀ  
&
.
(
ꢁꢀ  
ꢈꢀ 9ꢀ 'ꢀ  
'
'
ꢇꢀ  
ꢇꢀ  
ꢈꢀ  
1
&
%
$
ꢉꢀ  
ꢊꢀ  
$
$
ꢉꢀ  
ꢈꢀ  
&
ꢂꢂ  
3  
3  
ꢃꢀꢇꢀ 9ꢀ  
''4ꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
9ꢀ  
''  
4ꢀ  
ꢅꢀꢇꢀ $  
ꢆꢀꢇꢀ $  
ꢆꢀ  
ꢋꢀ  
$
$
ꢅꢀ  
ꢃꢀ  
ꢋꢀ 9ꢀ 'ꢀ  
'
'
ꢇꢀ  
$
ꢇꢀ  
$
ꢁꢀ  
ꢈꢀ  
ꢊꢀ  
ꢄꢀ  
ꢉꢀ  
ꢈꢀ  
3  
3  
ꢂꢀꢇꢀ 9ꢀ  
''4ꢀ  
9ꢀ  
''  
4ꢀ  
ꢄꢀꢇꢀ $  
ꢂꢀ  
ꢇꢀ  
9ꢀ  
ꢉꢀ 9ꢀ 'ꢀ  
''  
'
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
1
ꢇꢀ  
ꢋꢀ 9ꢀ  
66ꢀ  
ꢇꢀ  
'
ꢇꢀ  
'
ꢇꢀ  
ꢉꢀ 9ꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢁꢀ 9ꢀ  
ꢇꢀ  
1
ꢇꢀ  
'
ꢇꢀ  
ꢇꢀ  
'
ꢇꢀ  
&
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢋꢀ 9ꢀ  
ꢇꢀ  
'
ꢇꢀ  
'
ꢇꢀ  
ꢇꢀ  
'
Rev. 1.3, 2006-12  
03292006-6GMD-RSFT  
14  
                                                                                                                                                                                       
                                                         
                                                         
                                                           
                                                            
                                                             
                                                                                                   
                                                                                                   
                                                                                                     
                                                                                                      
                                                                                                       
                                                                                                           
                                                                                                            
                                                                          
                                   
                                     
                                          
                                          
                                            
                                            
                                             
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                     
                                                                                                                      
                                                                                                                          
                                                                                                                           
                                                 
                                                  
                                                         
                                                         
                                                           
                                                            
                                                             
                                                                                                   
                                                                                                   
                                                                                                     
                                                                                                      
                                                                                                       
                                                                                                                                                                
                                          
                                          
                                            
                                            
                                             
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                     
                                                                                                                      
                                                                                                                          
                                                                                                                           
                                                      
                                                 
                                                  
                                                    
                                                         
                                                         
                                                           
                                                            
                                                             
                                                                                                   
                                                                                                   
                                                                                                     
                                                                                                      
                                                                                                       
                                                                                                           
                                   
                                     
                                      
                                          
                                          
                                            
                                            
                                             
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                     
                                                                                                                      
                                                                                                                                                                                       
                                                         
                                                         
                                                           
                                                            
                                                             
                                                                                                   
                                                                                                   
                                                                                                     
                                                                                                      
                                                                                                       
                                                                                                           
                                                                                                            
                                                                          
                                   
                                     
                                          
                                          
                                            
                                            
                                             
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                     
                                                                                                                      
                                                                                                                          
                                                                                                                           
                                                 
                                                  
                                                         
                                                         
                                                           
                                                            
                                                             
                                                                                                   
                                                                                                   
                                                                                                     
                                                                                                      
                                                                                                       
                                                                                                                                                                
                                          
                                          
                                            
                                            
                                             
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                     
                                                                                                                      
                                                                                                                          
                                                                                                                           
                                                                                                                            
                                                      
                                                 
                                                  
                                                         
                                                         
                                                           
                                                            
                                                             
                                                                                                   
                                                                                                   
                                                                                                     
                                                                                                      
                                                                                                       
                                                                                                           
                                                                                                            
                                                                                                             
                                   
                                     
                                          
                                          
                                            
                                            
                                             
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                     
                                                                                                                      
                                                                                                                                                                                       
                                                                          
                                                         
                                                         
                                                           
                                                            
                                                             
                                                                                                   
                                                                                                   
                                                                                                     
                                                                                                      
                                                                                                       
                                                                                                           
                                                                                                            
                                   
                                     
                                      
                                          
                                          
                                            
                                            
                                             
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                     
                                                                                                                      
                                                                                                                          
                                                 
                                                  
                                                    
                                                         
                                                         
                                                           
                                                            
                                                             
                                                                                                   
                                                                                                   
                                                                                                     
                                                                                                      
                                                                                                       
                                                                                                           
                                          
                                          
                                            
                                            
                                             
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                     
                                                                                                                      
                                                                                                                          
                                                                                                                           
                                                      
                                                 
                                                         
                                                         
                                                           
                                                            
                                                             
                                                                                                   
                                                                                                   
                                                                                                     
                                                                                                      
                                                                                                       
                                                                                                           
                                                                                                            
                                   
                                          
                                          
                                            
                                            
                                             
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                     
                                                                                                                      
                                                                                                                                                                                       
                                                         
                                                         
                                                           
                                                            
                                                             
                                                                                                   
                                                                                                   
                                                                                                     
                                                                                                      
                                                                                                       
                                                                                                           
                                                                                                            
                                                                                                             
                                                                          
                                   
                                     
                                      
                                                                                                                          
                                                                                                                           
                                                                                                                            
                                          
                                          
                                            
                                            
                                             
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                     
                                                                                                                      
                                                 
                                                  
                                                   
                                                         
                                                         
                                                           
                                                            
                                                             
                                                                                                   
                                                                                                   
                                                                                                     
                                                                                                      
                                                                                                       
                                                                                                                                                                
                                          
                                          
                                            
                                            
                                             
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                     
                                                                                                                      
                                                                                                                          
                                                                                                                           
                                                                                                                            
                                                      
                                                 
                                                  
                                                   
                                                         
                                                         
                                                           
                                                            
                                                             
                                                                                                   
                                                                                                   
                                                                                                     
                                                                                                      
                                                                                                       
                                                                                                           
                                                                                                            
                                                                                                             
                                   
                                     
                                      
                                          
                                          
                                            
                                            
                                             
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                     
                                                                                                                      
                                                                                                                                                                                       
                                                         
                                                         
                                                           
                                                            
                                                             
                                                                                                   
                                                                                                   
                                                                                                     
                                                                                                      
                                                                                                       
                                                                                                           
                                                                                                            
                                                                          
                                   
                                     
                                      
                                          
                                          
                                            
                                            
                                             
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                     
                                                                                                                      
                                                                                                                          
                                                 
                                                  
                                                    
                                                         
                                                         
                                                           
                                                            
                                                             
                                                                                                   
                                                                                                   
                                                                                                     
                                                                                                      
                                                                                                       
                                                                                                                                                                
                                          
                                          
                                            
                                            
                                             
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                     
                                                                                                                      
                                                                                                                          
                                                                                                                           
                                                                                                                            
                                                      
                                                         
                                                         
                                                           
                                                            
                                                             
                                                                                                   
                                                                                                   
                                                                                                     
                                                                                                      
                                                                                                       
                                                                                                           
                                                                                                            
                                                                                                             
                                                                          
                                   
                                     
                                      
                                          
                                          
                                            
                                            
                                             
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                     
                                                                                                                      
                                                                                                                                                                                       
                                                         
                                                         
                                                           
                                                            
                                                             
                                                                                                   
                                                                                                   
                                                                                                     
                                                                                                      
                                                                                                       
                                                                                                           
                                                                                                            
                                                                                                             
                                                                          
                                   
                                     
                                      
                                          
                                          
                                            
                                            
                                             
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                     
                                                                                                                      
                                                                                                                          
                                                                                                                           
                                                                                                                            
                                                 
                                                  
                                                   
                                                         
                                                         
                                                           
                                                            
                                                             
                                                                                                   
                                                                                                   
                                                                                                     
                                                                                                      
                                                                                                       
                                                                                                                                                                
                                          
                                          
                                            
                                            
                                             
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                     
                                                                                                                      
                                                                                                                          
                                                                                                                           
                                                      
                                                 
                                                  
                                                    
                                                         
                                                         
                                                           
                                                            
                                                             
                                                                                                   
                                                                                                   
                                                                                                     
                                                                                                      
                                                                                                       
                                                                                                           
                                   
                                     
                                      
                                          
                                          
                                            
                                            
                                             
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                     
                                                                                                                      
                                                                                                                                                                                       
                                                         
                                                         
                                                           
                                                            
                                                             
                                                                                                   
                                                                                                   
                                                                                                     
                                                                                                      
                                                                                                       
                                                                                                           
                                                                                                            
                                                                                                             
                                                                          
                                   
                                     
                                      
                                          
                                          
                                            
                                            
                                             
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                     
                                                                                                                      
                                                                                                                          
                                                                                                                           
                                                                                                                            
                                                 
                                                  
                                                   
                                                         
                                                         
                                                           
                                                            
                                                             
                                                                                                   
                                                                                                   
                                                                                                     
                                                                                                      
                                                                                                       
                                                                                                                                                                
                                          
                                          
                                            
                                            
                                             
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                     
                                                                                                                      
                                                                                                                          
                                                                                                                           
                                                      
                                                 
                                                  
                                                         
                                                         
                                                           
                                                            
                                                             
                                                                                                   
                                                                                                   
                                                                                                     
                                                                                                      
                                                                                                       
                                                                                                           
                                                                                                            
                                   
                                    
                                          
                                          
                                            
                                            
                                             
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                     
                                                                                                                      
                                                                                                                                                                                       
                                                         
                                                         
                                                           
                                                            
                                                             
                                                                                                   
                                                                                                   
                                                                                                     
                                                                                                      
                                                                                                       
                                                                                                           
                                                                                                            
                                                                          
                                   
                                     
                                      
                                          
                                          
                                            
                                            
                                             
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                     
                                                                                                                      
                                                                                                                          
                                                 
                                                  
                                                    
                                                         
                                                         
                                                           
                                                            
                                                             
                                                                                                   
                                                                                                   
                                                                                                     
                                                                                                      
                                                                                                       
                                                                                                                                                                
                                          
                                          
                                            
                                            
                                             
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                     
                                                                                                                      
                                                                                                                          
                                                                                                                           
                                                      
                                                 
                                                  
                                                         
                                                         
                                                           
                                                            
                                                             
                                                                                                   
                                                                                                   
                                                                                                     
                                                                                                      
                                                                                                       
                                                                                                           
                                                                                                            
                                   
                                    
                                          
                                          
                                            
                                            
                                             
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                     
                                                                                                                      
                                                                                                                                                                                       
                                                         
                                                         
                                                           
                                                            
                                                             
                                                                                                   
                                                                                                   
                                                                                                     
                                                                                                      
                                                                                                       
                                                                          
                                                                                                                                                                
                                                                                                                                                                 
                                          
                                          
                                            
                                            
                                             
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                     
                                                                                                                      
                                                                                                                          
                                                                                                                           
                                                                                                                            
                                                      
                                                       
                                                 
                                                  
                                                   
                                                         
                                                         
                                                           
                                                            
                                                             
                                                                                                   
                                                                                                   
                                                                                                     
                                                                                                      
                                                                                                       
                                                                                                                                                                
                                          
                                          
                                            
                                            
                                             
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                     
                                                                                                                      
                                                                                                                          
                                                      
                                                 
                                                   
                                                    
                                                     
                                                         
                                                         
                                                           
                                                            
                                                             
                                                                                                   
                                                                                                   
                                                                                                     
                                                                                                      
                                                                                                       
                                                                                                          
                                                                                                            
                                   
                                          
                                          
                                            
                                            
                                             
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                     
                                                                                                                      
                                                                                                                                                                                       
                                                                                                                                                                                        
                                                         
                                                         
                                                           
                                                            
                                                             
                                                                                                   
                                                                                                   
                                                                                                     
                                                                                                      
                                                                                                       
                                                                                                          
                                                                                                            
                                                                          
                                                                           
                                   
                                    
                                          
                                          
                                            
                                            
                                             
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                     
                                                                                                                      
                                                                                                                          
                                                 
                                                         
                                                         
                                                           
                                                            
                                                             
                                                                                                   
                                                                                                   
                                                                                                     
                                                                                                      
                                                                                                       
                                                                                                                                                                
                                          
                                          
                                            
                                            
                                             
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                     
                                                                                                                      
                                                                                                                          
                                                      
                                                 
                                                         
                                                         
                                                           
                                                            
                                                             
                                                                                                   
                                                                                                   
                                                                                                     
                                                                                                      
                                                                                                       
                                                                                                          
                                   
                                          
                                          
                                            
                                            
                                             
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                     
                                                                                                                      
                                                                                                                                                                                       
                                                                                                                                                                                        
                                                         
                                                         
                                                           
                                                            
                                                             
                                                                                                   
                                                                                                   
                                                                                                     
                                                                                                      
                                                                                                       
                                                                                                          
                                                                          
                                                                           
                                   
                                          
                                          
                                            
                                            
                                             
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                     
                                                                                                                      
                                                                                                                          
                                                         
                                                         
                                                           
                                                            
                                                             
                                                                                                   
                                                                                                   
                                                                                                     
                                                                                                      
                                                                                                       
                                                                          
                                                                                                                                                                
9ꢀ  
66ꢀ  
3  
                                          
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
                                          
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
                                            
ꢁꢊ  
ꢁꢊ  
ꢁꢊ  
ꢁꢅ  
ꢁꢅ  
ꢁꢅ  
ꢁꢅ  
ꢁꢅ  
ꢁꢋ  
ꢁꢋ  
ꢁꢋ  
ꢁꢋ  
ꢁꢋ  
ꢁꢆ  
ꢁꢆ  
ꢁꢆ  
ꢁꢆ  
ꢁꢆ  
ꢂꢁ  
ꢂꢁ  
ꢂꢁ  
ꢂꢁ  
ꢂꢁ  
ꢂꢂ  
ꢂꢂ  
ꢂꢂ  
ꢂꢂ  
ꢂꢂ  
                                            
                                             
ꢃꢀ  
ꢅꢀ  
ꢆꢀ  
ꢂꢀ  
ꢄꢀ  
ꢃꢀ  
ꢅꢀ  
ꢆꢀ  
ꢂꢀ  
ꢄꢀ  
ꢃꢀ  
ꢅꢀ  
ꢆꢀ  
ꢂꢀ  
ꢄꢀ  
ꢃꢀ  
ꢅꢀ  
ꢆꢀ  
ꢂꢀ  
ꢄꢀ  
ꢃꢀ  
ꢅꢀ  
ꢆꢀ  
ꢂꢀ  
ꢄꢀ  
ꢃꢀ  
ꢅꢀ  
ꢆꢀ  
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
                                                                                                                  
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
                                                                                                                   
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
                                                                                                                    
ꢂꢋ  
ꢂꢋ  
ꢂꢋ  
ꢂꢆ  
ꢂꢆ  
ꢂꢆ  
ꢂꢆ  
ꢂꢆ  
ꢈꢁ  
ꢈꢁ  
ꢈꢁ  
ꢈꢁ  
ꢈꢁ  
ꢈꢂ  
ꢈꢂ  
ꢈꢂ  
ꢈꢂ  
ꢈꢂ  
ꢈꢈ  
ꢈꢈ  
ꢈꢈ  
ꢈꢈ  
ꢈꢈ  
ꢈꢄ  
ꢈꢄ  
ꢈꢄ  
ꢈꢄ  
ꢈꢄ  
                                                                                                                     
                                                                                                                      
ꢃꢀꢇꢀ &  
                                                                                                                          
                                                                                                                           
.ꢁꢀ  
                                                      
9ꢀ 6ꢀ  
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
                                                         
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
                                                         
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
                                                           
                                                            
                                                             
ꢊꢀ  
ꢋꢀ  
ꢁꢀ  
ꢈꢀ  
ꢉꢀ  
ꢊꢀ  
ꢋꢀ  
ꢁꢀ  
ꢈꢀ  
ꢉꢀ  
ꢊꢀ  
ꢋꢀ  
ꢁꢀ  
ꢈꢀ  
ꢉꢀ  
ꢊꢀ  
ꢋꢀ  
ꢁꢀ  
ꢈꢀ  
ꢉꢀ  
ꢊꢀ  
ꢋꢀ  
ꢁꢀ  
ꢈꢀ  
ꢉꢀ  
ꢊꢀ  
ꢋꢀ  
ꢁꢀ  
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
                                                                                                   
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
                                                                                                   
                                                                                                     
ꢂꢋ  
ꢂꢋ  
ꢂꢆ  
ꢂꢆ  
ꢂꢆ  
ꢂꢆ  
ꢂꢆ  
ꢈꢁ  
ꢈꢁ  
ꢈꢁ  
ꢈꢁ  
ꢈꢁ  
ꢈꢂ  
ꢈꢂ  
ꢈꢂ  
ꢈꢂ  
ꢈꢂ  
ꢈꢈ  
ꢈꢈ  
ꢈꢈ  
ꢈꢈ  
ꢈꢈ  
ꢈꢄ  
ꢈꢄ  
ꢈꢄ  
ꢈꢄ  
ꢈꢄ  
ꢈꢉ  
                                                                                                      
                                                                                                       
ꢊꢀ  
ꢋꢀ  
ꢁꢀ  
ꢈꢀ  
&
                                                                                                           
                                                                                                            
.
ꢁꢀ  
ꢁꢀ  
ꢂꢀ  
6
                                                                          
9ꢀ 'ꢀ 3  
                                          
                                          
                                            
                                            
                                             
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                     
                                                                                                                      
ꢅꢀꢇꢀ 9ꢀ  
ꢆꢀꢇꢀ 9ꢀ  
'
                                                      
''  
                                                                                                                                                                                       
1
                                                 
                                                         
                                                         
                                                           
                                                            
                                                             
                                                                                                   
                                                                                                   
                                                                                                     
                                                                                                      
                                                                                                       
$
%
                                                                                                          
&
9ꢀ 'ꢀ 3  
                                          
                                          
                                            
                                            
                                             
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                     
                                                                                                                      
'
                                                      
''  
                                                                                                                                                                                       
$
                                                 
                                                  
ꢁꢌ$  
                                                   
                                                    
3ꢀ  
                                                         
                                                         
                                                           
                                                            
                                                             
                                                                                                   
                                                                                                   
                                                                                                     
                                                                                                      
                                                                                                       
                                                                                                           
$
                                                                                                            
%
                                   
$
                                    
ꢁꢀ 3  
                                          
                                          
                                            
                                            
                                             
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                     
                                                                                                                      
ꢂꢀꢇꢀ 9ꢀ  
''  
                                                                                                                                                                                       
                                                                                                                                                                                        
4ꢀ  
9ꢀ '  
                                                         
                                                         
                                                           
                                                            
                                                             
                                                                                                   
                                                                                                   
                                                                                                     
                                                                                                      
                                                                                                       
5
                                                                                                           
                                                                                                            
$
6ꢀ  
' 4ꢀ  
                                                                          
                                                                           
:
                                    
(ꢀ 3  
                                          
                                          
                                            
                                            
                                             
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                     
                                                                                                                      
ꢄꢀꢇꢀ 6ꢁꢀ  
ꢃꢀꢇꢀ 2'  
ꢅꢀꢇꢀ 9ꢀ  
''ꢀ  
                                                                                                                          
&
1
                                                 
                                                  
6ꢀ  
                                                         
                                                         
                                                           
                                                            
                                                             
                                                                                                   
                                                                                                   
                                                                                                     
                                                                                                      
                                                                                                       
$
&
ꢉꢀ 9ꢀ '4  
'
                                                                                                                                                                
                                                                                                                                                                 
9ꢀ '4 3  
                                          
                                          
                                            
                                            
                                             
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                     
                                                                                                                      
                                                                                                                          
                                                                                                                           
                                                                                                                            
7ꢁꢀ  
'
                                                      
                                                       
                                                 
                                                   
6
                                                    
ꢂꢀ  
                                                         
                                                         
                                                           
                                                            
                                                             
                                                                                                   
                                                                                                   
                                                                                                     
                                                                                                      
                                                                                                       
ꢊꢀ  
                                                                                                           
                                                                                                            
                                                                                                             
                                                                                                              
&ꢌ$  
ꢄꢀ  
2'  
                                   
                                     
                                      
ꢂꢀꢇꢀ3  
3  
                                          
                                          
                                            
                                            
                                             
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                     
                                                                                                                      
7
                                                                                                                                                                                       
9ꢀ '  
                                                         
                                                         
                                                           
                                                            
                                                             
                                                                                                   
                                                                                                   
                                                                                                     
                                                                                                      
                                                                                                       
'
                                                                          
                                                                           
4ꢀ  
                                                                                                                                                                
9ꢀ  
                                          
                                          
                                            
                                            
                                             
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                     
                                                                                                                      
ꢆꢀꢇꢀ '  
ꢂꢀ  
                                                                                                                          
                                                                                                                           
                                                                                                                            
4ꢄ  
ꢊꢀ  
ꢋꢀ  
66  
                                                      
'
                                                 
                                                  
                                                   
ꢈꢀ  
                                                         
                                                         
                                                           
                                                            
                                                             
                                                                                                   
                                                                                                   
                                                                                                     
                                                                                                      
                                                                                                       
ꢁꢀ  
ꢈꢀ  
'
'
                                                                                                           
                                                                                                            
ꢄꢅ  
ꢉꢀ  
                                                                                                             
4ꢄ  
4
0
3
                                          
                                          
                                            
                                            
                                             
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                     
                                                                                                                      
'
'
                                   
                                     
                                      
4ꢄ  
 
ꢇꢀ 9ꢀ  
66  
                                                                                                                                                                                       
9ꢀ 6ꢀ  
                                                         
                                                         
                                                           
                                                            
                                                             
                                                                                                   
                                                                                                   
                                                                                                     
                                                                                                      
                                                                                                       
                                                                                                           
                                                                                                            
6
                                                                          
                                   
                                     
                                      
ꢉꢀꢇ3  
                                          
                                          
                                            
                                            
                                             
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                     
                                                                                                                      
ꢄꢀꢇꢀ 1  
ꢃꢀ  
                                                                                                                          
46  
&ꢀ  
4ꢄ  
'
'
                                                 
                                                  
6ꢉ  
                                                    
ꢉꢀ  
                                                         
                                                         
                                                           
                                                            
                                                             
                                                                                                   
                                                                                                   
                                                                                                     
                                                                                                      
                                                                                                       
4
4
66  
                                                                                                                                                                
3
                                          
                                          
                                            
                                            
                                             
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                     
                                                                                                                      
9ꢀ  
ꢇꢀ  
ꢇꢀ '  
                                                                                                                          
                                                                                                                           
                                                                                                                            
66  
                                                      
                                                 
                                                  
                                                   
                                                         
                                                         
                                                           
                                                            
                                                             
                                                                                                   
                                                                                                   
                                                                                                     
                                                                                                      
                                                                                                       
ꢊꢀ  
ꢋꢀ  
'
'
                                                                                                           
                                                                                                            
ꢄꢆ  
ꢉꢉ  
                                                                                                             
4
4
'
'
                                   
                                     
                                      
3  
                                          
                                          
                                            
                                            
                                             
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                     
                                                                                                                      
4
4ꢉ  
ꢅꢀꢇꢀ 9ꢀ  
66  
                                                                                                                                                                                       
9ꢀ 6ꢀ  
                                                         
                                                         
                                                           
                                                            
                                                             
                                                                                                   
                                                                                                   
                                                                                                     
                                                                                                      
                                                                                                       
                                                                                                           
                                                                                                            
                                                                                                             
6
                                                                          
3
                                          
                                          
                                            
                                            
                                             
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                     
                                                                                                                      
ꢆꢀ  
ꢇꢀ '  
ꢂꢀꢇꢀ '  
                                   
                                     
                                      
 
                                                                                                                          
                                                                                                                           
                                                                                                                            
4ꢉ  
0ꢃ  
ꢃꢀ  
'
'
                                                 
6ꢃ  
                                                  
                                                   
ꢂꢀ  
                                                         
                                                         
                                                           
                                                            
                                                             
                                                                                                   
                                                                                                   
                                                                                                     
                                                                                                      
                                                                                                       
4
4
66  
                                                                                                                                                                
9ꢀ  
3  
ꢃꢀꢇ3  
3  
                                          
                                          
                                            
                                            
                                             
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                     
                                                                                                                      
                                                                                                                          
                                                                                                                           
66ꢀ  
                                                      
                                                 
                                                  
                                                    
                                                         
                                                         
                                                           
                                                            
                                                             
                                                                                                   
                                                                                                   
                                                                                                     
                                                                                                      
                                                                                                       
ꢈꢀ  
ꢉꢀ  
                                                                                                           
&ꢀ  
4
'
                                   
                                     
                                      
                                          
                                          
                                            
                                            
                                             
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                     
                                                                                                                      
46  
ꢄꢀꢇꢀ 9ꢀ  
66  
                                                                                                                                                                                       
9ꢀ 6ꢀ  
                                                         
                                                         
                                                           
                                                            
                                                             
                                                                                                   
                                                                                                   
                                                                                                     
                                                                                                      
                                                                                                       
                                                                                                           
                                                                                                            
ꢉꢊ  
                                                                                                             
6
                                                                          
9ꢀ  
9ꢀ  
                                          
                                          
                                            
                                            
                                             
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                     
                                                                                                                      
ꢃꢀꢇꢀ '  
ꢅꢀꢇꢀ '  
ꢆꢀ  
ꢇꢀ 9ꢀ  
66ꢀ  
                                                                                                                          
                                                                                                                           
                                                                                                                            
4ꢉ  
4ꢃ  
ꢅꢀ  
ꢈꢀ  
66  
                                                      
'
'
                                                 
                                                  
                                                   
ꢄꢀ  
ꢋꢀ  
                                                         
                                                         
                                                           
                                                            
                                                             
                                                                                                   
                                                                                                   
                                                                                                     
                                                                                                      
                                                                                                       
4
4
ꢊꢀ 9ꢀ  
66  
                                                                                                                                                                
3  
                                          
                                          
                                            
                                            
                                             
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                     
                                                                                                                      
                                                                                                                          
                                                                                                                           
                                                                                                                            
66  
                                                      
                                                 
                                                  
                                                   
                                                         
                                                         
                                                           
                                                            
                                                             
                                                                                                   
                                                                                                   
                                                                                                     
                                                                                                      
                                                                                                       
ꢋꢀ  
ꢁꢀ  
                                                                                                           
                                                                                                            
ꢃꢄ  
                                                                                                             
4
.ꢈꢀ  
3
                                          
                                          
                                            
                                            
                                             
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                     
                                                                                                                      
'
                                   
                                     
                                      
4ꢉ  
 
                                                                                                                                                                                       
9ꢀ 6ꢀ  
                                                         
                                                         
                                                           
                                                            
                                                             
                                                                                                   
                                                                                                   
                                                                                                     
                                                                                                      
                                                                                                       
                                                                                                           
                                                                                                            
6
                                                                          
6
                                   
$
                                    
ꢈꢀ 3  
                                          
                                          
                                            
                                            
                                             
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                     
                                                                                                                      
ꢂꢀꢇꢀ &.ꢈꢀ  
                                                                                                                          
                                                                                                                           
1
'
                                                 
                                                         
                                                         
                                                           
                                                            
                                                             
                                                                                                   
                                                                                                   
                                                                                                     
                                                                                                      
                                                                                                       
&
4
ꢈꢀ 9ꢀ  
ꢇꢀ  
66ꢀ  
                                                                                                                                                                
3
                                          
                                          
                                            
                                            
                                             
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                     
                                                                                                                      
ꢄꢀ  
ꢇꢀ '  
9ꢀ  
ꢇꢀ  
                                                                                                                          
                                                                                                                           
0ꢊ  
66ꢀ  
                                                      
                                                 
                                                  
6ꢊ  
                                                    
                                                         
                                                         
                                                           
                                                            
                                                             
                                                                                                   
                                                                                                   
                                                                                                     
                                                                                                      
                                                                                                       
ꢉꢀ  
ꢊꢀ  
1
'
                                                                                                           
&ꢀ  
4
'
'
                                   
6
                                     
                                      
ꢊꢀꢇ3  
                                          
                                          
                                            
                                            
                                             
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                     
                                                                                                                      
4
4ꢃ  
ꢃꢀꢇꢀ 9ꢀ  
66  
                                                                                                                                                                                       
9ꢀ 6ꢀ  
                                                         
                                                         
                                                           
                                                            
                                                             
                                                                                                   
                                                                                                   
                                                                                                     
                                                                                                      
                                                                                                       
                                                                                                           
                                                                                                            
ꢃꢉ  
                                                                                                             
6
                                                                          
3
3  
                                          
                                          
                                            
                                            
                                             
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                     
                                                                                                                      
ꢅꢀ  
ꢇꢀ '  
ꢆꢀꢇꢀ '  
                                   
                                     
                                      
 
                                                                                                                          
                                                                                                                           
                                                                                                                            
4ꢃ  
4ꢊ  
ꢃꢀ  
ꢁꢀ  
'
'
                                                 
                                                  
                                                   
ꢂꢀ  
ꢊꢀ  
                                                         
                                                         
                                                           
                                                            
                                                             
                                                                                                   
                                                                                                   
                                                                                                     
                                                                                                      
                                                                                                       
4
4
66  
                                                                                                                                                                
9ꢀ  
                                          
                                          
                                            
                                            
                                             
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                     
                                                                                                                      
                                                                                                                          
                                                                                                                           
                                                                                                                            
66ꢀ  
                                                      
                                                 
                                                  
                                                   
                                                         
                                                         
                                                           
                                                            
                                                             
                                                                                                   
                                                                                                   
                                                                                                     
                                                                                                      
                                                                                                       
ꢁꢀ  
ꢈꢀ  
                                                                                                           
                                                                                                            
ꢊꢂ  
ꢅꢀ  
                                                                                                             
4
0
'
'
                                   
                                     
                                      
3  
ꢅꢀꢇ3  
3  
                                          
                                          
                                            
                                            
                                             
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                     
                                                                                                                      
4
ꢂꢀꢇꢀ 9ꢀ  
66  
                                                                                                                                                                                       
9ꢀ 6ꢀ  
                                                         
                                                         
                                                           
                                                            
                                                             
                                                                                                   
                                                                                                   
                                                                                                     
                                                                                                      
                                                                                                       
                                                                                                           
                                                                                                            
6
                                                                          
                                   
                                     
                                      
                                          
                                          
                                            
                                            
                                             
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                     
                                                                                                                      
ꢄꢀꢇꢀ 1  
ꢃꢀꢇꢀ '  
                                                                                                                          
46  
&ꢀ  
'
'
                                                 
                                                  
6ꢅ  
                                                    
ꢋꢀ  
                                                         
                                                         
                                                           
                                                            
                                                             
                                                                                                   
                                                                                                   
                                                                                                     
                                                                                                      
                                                                                                       
4
4
ꢉꢀ 9ꢀ  
66  
                                                                                                                                                                
9ꢀ  
                                          
                                          
                                            
                                            
                                             
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                     
                                                                                                                      
                                                                                                                          
                                                                                                                           
                                                                                                                            
4ꢊ  
ꢈꢀ  
66ꢀ  
                                                      
                                                 
                                                  
                                                   
                                                         
                                                         
                                                           
                                                            
                                                             
                                                                                                   
                                                                                                   
                                                                                                     
                                                                                                      
                                                                                                       
ꢊꢀ  
                                                                                                           
                                                                                                            
ꢊꢄ  
                                                                                                             
4
3
                                          
                                          
                                            
                                            
                                             
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                     
                                                                                                                      
ꢅꢀ  
ꢆꢀ  
'
                                   
                                     
                                      
4ꢃ  
 
9ꢀ  
6$ꢁꢀ  
66ꢀ  
                                                                                                                                                                                       
9ꢀ 6ꢀ  
                                                         
                                                         
                                                           
                                                            
                                                             
                                                                                                   
                                                                                                   
                                                                                                     
                                                                                                      
                                                                                                       
ꢋꢀ 9ꢀ '6  
6$ꢂꢀ  
6
                                                                          
' 3'ꢀ  
                                                                                                                                                                
                                                                                                                                                                 
                                                                                                                                                                  
                                                                                                                                                                   
6
                                   
'
                                    
3  
                                          
                                          
                                            
                                            
                                             
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                     
                                                                                                                      
                                                                                                                          
                                                                                                                           
$
6
                                                 
&
                                                  
                                                         
                                                         
                                                           
                                                            
                                                             
                                                                                                   
                                                                                                   
                                                                                                     
                                                                                                      
                                                                                                       
ꢁꢀ  
                                                                                                           
                                                                                                            
/
0
                                                                                                                                   
33  
                                                                                                                                    
                                                                                                                                     
7
                                                                                                                                      
ꢁꢂꢊꢁꢀ  
                                                                                                                                       
                                                                                                                                        
                                                                                                                                         
Internet Data Sheet  
HYS[64/72]T[32/64/128]xx0HU-[25F/2.5/3/3S/3.7/5]-B  
Unbuffered DDR2 SDRAM Module  
FIGURE 2  
Pin Configuration UDIMM ×72 (240 Pin)  
9
'
9ꢀ  
'
'
9ꢀ  
'
'
9ꢀ  
1
'
9ꢀ  
'
'
9ꢀ  
'
'
9ꢀ  
'
'
9ꢀ  
&
'
                                   
5
4
                                    
(
                                      
)ꢀꢇꢀ 3  
ꢇꢀ 3  
ꢇꢀ 3  
ꢁꢀꢇꢀ 3  
ꢇꢀ 3  
ꢇꢀ 3  
ꢇꢀ 3  
ꢂꢀꢇꢀ 3  
ꢇꢀ 3  
                                          
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
                                          
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
                                            
ꢁꢁ  
ꢁꢁ  
ꢁꢁ  
ꢁꢁ  
ꢁꢁ  
ꢁꢂ  
ꢁꢂ  
ꢁꢂ  
ꢁꢂ  
ꢁꢂ  
ꢁꢈ  
ꢁꢈ  
ꢁꢈ  
ꢁꢈ  
ꢁꢈ  
ꢁꢄ  
ꢁꢄ  
ꢁꢄ  
ꢁꢄ  
ꢁꢄ  
ꢁꢉ  
ꢁꢉ  
ꢁꢉ  
ꢁꢉ  
ꢁꢉ  
ꢁꢃ  
ꢁꢃ  
ꢁꢃ  
ꢁꢃ  
ꢁꢃ  
ꢁꢊ  
ꢁꢊ  
                                            
                                             
ꢂꢀ  
ꢄꢀ  
ꢃꢀ  
ꢅꢀ  
ꢆꢀ  
ꢂꢀ  
ꢄꢀ  
ꢃꢀ  
ꢅꢀ  
ꢆꢀ  
ꢂꢀ  
ꢄꢀ  
ꢃꢀ  
ꢅꢀ  
ꢆꢀ  
ꢂꢀ  
ꢄꢀ  
ꢃꢀ  
ꢅꢀ  
ꢆꢀ  
ꢂꢀ  
ꢄꢀ  
ꢃꢀ  
ꢅꢀ  
ꢆꢀ  
ꢂꢀ  
ꢄꢀ  
ꢃꢀ  
ꢅꢀ  
ꢆꢀ  
ꢂꢀ  
ꢄꢀ  
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
                                                                                                                  
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
                                                                                                                   
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
                                                                                                                    
ꢂꢈ  
ꢂꢈ  
ꢂꢈ  
ꢂꢈ  
ꢂꢈ  
ꢂꢄ  
ꢂꢄ  
ꢂꢄ  
ꢂꢄ  
ꢂꢄ  
ꢂꢉ  
ꢂꢉ  
ꢂꢉ  
ꢂꢉ  
ꢂꢉ  
ꢂꢃ  
ꢂꢃ  
ꢂꢃ  
ꢂꢃ  
ꢂꢃ  
ꢂꢊ  
ꢂꢊ  
ꢂꢊ  
ꢂꢊ  
ꢂꢊ  
ꢂꢅ  
ꢂꢅ  
ꢂꢅ  
ꢂꢅ  
ꢂꢅ  
ꢂꢋ  
ꢂꢋ  
                                                                                                                     
                                                                                                                      
ꢂꢀꢇꢀ 9ꢀ  
66ꢀ  
9ꢀ 6ꢀ  
ꢇꢀ 3  
ꢇꢀ 3  
ꢇꢀ 3  
ꢇꢀ 3  
ꢇꢀ 3  
ꢇꢀ 3  
ꢇꢀ 3  
ꢇꢀ 3  
ꢇꢀ 3  
ꢇꢀ 3  
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
ꢈꢀ  
ꢉꢀ  
ꢊꢀ  
ꢋꢀ  
ꢁꢀ  
ꢈꢀ  
ꢉꢀ  
ꢊꢀ  
ꢋꢀ  
ꢁꢀ  
ꢈꢀ  
ꢉꢀ  
ꢊꢀ  
ꢋꢀ  
ꢁꢀ  
ꢈꢀ  
ꢉꢀ  
ꢊꢀ  
ꢋꢀ  
ꢁꢀ  
ꢈꢀ  
ꢉꢀ  
ꢊꢀ  
ꢋꢀ  
ꢁꢀ  
ꢈꢀ  
ꢉꢀ  
ꢊꢀ  
ꢋꢀ  
ꢁꢀ  
ꢈꢀ  
ꢉꢀ  
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
ꢂꢈ  
ꢂꢈ  
ꢂꢈ  
ꢂꢈ  
ꢂꢄ  
ꢂꢄ  
ꢂꢄ  
ꢂꢄ  
ꢂꢄ  
ꢂꢉ  
ꢂꢉ  
ꢂꢉ  
ꢂꢉ  
ꢂꢉ  
ꢂꢃ  
ꢂꢃ  
ꢂꢃ  
ꢂꢃ  
ꢂꢃ  
ꢂꢊ  
ꢂꢊ  
ꢂꢊ  
ꢂꢊ  
ꢂꢊ  
ꢂꢅ  
ꢂꢅ  
ꢂꢅ  
ꢂꢅ  
ꢂꢅ  
ꢂꢋ  
ꢂꢋ  
ꢂꢋ  
ꢈꢀ ꢇꢀ'  
ꢇꢀ  
4
ꢉꢀ  
6
ꢄꢀꢇꢀ '  
ꢃꢀꢇꢀ '  
4ꢃ  
0ꢁ  
'
'
4
4
6ꢁ  
ꢉꢀ 9ꢀ  
66  
66  
4
4
6
ꢊꢀ ꢇꢀ1  
ꢇꢀ  
&ꢀ  
4
ꢅꢀꢇꢀ 9ꢀ  
66  
9ꢀ 6ꢀ  
ꢋꢀ  
'
ꢊꢀ  
6
ꢆꢀꢇꢀ '  
ꢂꢀꢇꢀ '  
4ꢅ  
4ꢂ  
ꢈꢀ  
'
'
4
4
9ꢀ 6ꢀ  
ꢁꢀ ꢇꢀ9ꢀ  
66  
66  
4
4
ꢇꢀ  
'
ꢈꢀ  
4
0
&ꢀ  
ꢂꢄ  
ꢂꢀ  
ꢄꢀꢇꢀ 9ꢀ  
66  
6
ꢉꢀ ꢇꢀ'  
ꢊꢀ ꢇꢀ1  
ꢋꢀ ꢇꢀ&  
ꢁꢀ ꢇꢀ'  
6
ꢃꢀꢇꢀ 1  
ꢅꢀꢇꢀ &  
&ꢀ  
.ꢂꢀ  
'
1
4
6ꢂ  
66  
&
4ꢂ  
&
.
ꢂꢀ  
ꢇꢀ 3  
ꢆꢀꢇꢀ 9ꢀ  
66  
9ꢀ 6ꢀ  
4
ꢂꢉ  
6
ꢇꢀ  
ꢇꢀ  
ꢁꢀ  
'
4ꢂ  
ꢃꢀ  
ꢁꢀ  
3
3
ꢂꢀꢇꢀ  
ꢇꢀ  
ꢇꢀ  
3
ꢇꢀ  
ꢈꢀ 9ꢀ  
'
'
4
4
ꢂꢀ  
ꢊꢀ  
3
66  
)ꢀ  
5ꢀ  
2ꢀ  
1ꢀ  
7ꢀ  
6ꢀ  
,ꢀ  
ꢄꢀꢇꢀ '  
4ꢈ  
%ꢀ  
$ꢀ  
&ꢀ  
.ꢀ  
6ꢀ  
,ꢀ  
66ꢀ  
4
4
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢉꢀ  
ꢊꢀ  
ꢋꢀ 9ꢀ  
'
'
4
0
ꢈꢂ  
ꢈꢀ  
6
3  
ꢈꢀꢇ3  
3  
3  
3  
3  
ꢄꢀꢇ3  
3  
3  
ꢃꢀꢇꢀ 9ꢀ  
66  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
9ꢀ 6ꢀ  
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
6
ꢅꢀꢇꢀ 1  
ꢆꢀꢇꢀ '  
&ꢀ  
4ꢈ  
'
4
6ꢈ  
66  
ꢈꢀ  
ꢆꢀ  
66  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
9ꢀ 6ꢀ  
ꢁꢀ  
ꢈꢀ  
ꢉꢀ 9ꢀ  
'
'
4
4
ꢈꢄ  
ꢈꢋ  
6
4
4
ꢂꢀꢇꢀ 9ꢀ  
66  
9ꢀ 6ꢀ  
6
ꢄꢀꢇꢀ '  
ꢃꢀꢇꢀ '  
4ꢈ  
0ꢄ  
'
'
4
4
6ꢄ  
ꢃꢀ  
'ꢀ  
(ꢀ  
66  
'ꢀ  
(ꢀ  
66ꢀ  
ꢇꢀ  
1
ꢇꢀ  
'
ꢊꢀ  
ꢋꢀ  
&ꢀ  
4
4
4
6
ꢅꢀꢇꢀ 9ꢀ  
66  
9ꢀ 6ꢀ  
ꢄꢁ  
6
ꢆꢀꢇꢀ '  
ꢂꢀꢇꢀ &  
4ꢄ  
%ꢉꢀ  
ꢂꢀ  
ꢇꢀ  
ꢁꢀ 9ꢀ  
'
&
4
ꢅꢀ  
66  
66ꢀ  
%
4
ꢇꢀ  
ꢇꢀ  
%
ꢁꢀ  
ꢈꢀ  
ꢉꢀ  
&
'
%
ꢃꢀ  
ꢂꢀ 3  
6
ꢄꢀꢇꢀ 9ꢀ  
66  
9ꢀ 6ꢀ  
0
ꢋꢀ  
6
ꢋꢀꢇ3  
3  
ꢃꢀꢇꢀ 1  
ꢅꢀꢇꢀ &  
&ꢀ  
%ꢊꢀ  
ꢇꢀ  
'
&
4
6ꢋ  
ꢊꢀ 9ꢀ  
66  
9ꢀ  
&
66ꢀ  
ꢇꢀ  
ꢇꢀ  
%
ꢈꢀ  
ꢋꢀ  
&
%
ꢅꢀ  
%
ꢄꢀ 3  
ꢆꢀꢇꢀ 9ꢀ  
66  
9ꢀ 6ꢀ  
ꢁꢀ 9ꢀ '4  
6
'
9ꢀ '4 3  
9ꢀ 'ꢀ 3  
1
$
9ꢀ 'ꢀ 3  
$
$
ꢂꢀꢇꢀ &  
ꢄꢀꢇꢀ 1  
.
&ꢀ  
(
ꢂꢀ  
'
ꢇꢀ  
&
1
.
&
(
ꢁꢀ  
ꢈꢀ 9ꢀ 'ꢀ  
'
'
ꢇꢀ  
ꢇꢀ  
ꢈꢀ  
%
$
ꢉꢀ  
ꢊꢀ  
$
$
ꢉꢀ  
ꢈꢀ  
&
ꢂꢂ  
3  
3  
ꢃꢀꢇꢀ 9ꢀ  
''4ꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
9ꢀ '  
'
4ꢀ  
ꢅꢀꢇꢀ $  
ꢆꢀꢇꢀ $  
ꢆꢀ  
ꢋꢀ  
$
$
ꢅꢀ  
ꢃꢀ  
ꢋꢀ 9ꢀ 'ꢀ  
'
'
ꢇꢀ  
$
ꢇꢀ  
$
ꢁꢀ  
ꢈꢀ  
ꢊꢀ  
ꢄꢀ  
ꢉꢀ  
ꢈꢀ  
3  
3  
ꢂꢀꢇꢀ 9ꢀ  
''4ꢀ  
9ꢀ '  
'
4ꢀ  
ꢄꢀꢇꢀ $  
ꢂꢀ  
ꢇꢀ  
9ꢀ 'ꢀ  
ꢉꢀ 9ꢀ 'ꢀ  
'
'
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
1
ꢇꢀ  
ꢋꢀ 9ꢀ  
66ꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢉꢀ 9ꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢁꢀ 9ꢀ  
ꢇꢀ  
1
ꢇꢀ  
'
ꢇꢀ  
ꢇꢀ  
'
ꢇꢀ  
&
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢋꢀ 9ꢀ  
ꢇꢀ  
'
ꢇꢀ  
'
ꢇꢀ  
ꢇꢀ  
'
Rev. 1.3, 2006-12  
03292006-6GMD-RSFT  
15  
Internet Data Sheet  
HYS[64/72]T[32/64/128]xx0HU-[25F/2.5/3/3S/3.7/5]-B  
Unbuffered DDR2 SDRAM Module  
3
Electrical Characteristics  
This chapter describes the Electrical Characteristics.  
3.1  
Absolute Maximum Ratings  
Caution is needed not to exceed absolute maximum ratings of the DRAM device listed in Table 8 at any time.  
TABLE 8  
Absolute Maximum Ratings  
Symbol  
Parameter  
Rating  
Min.  
Unit  
Note  
Max.  
1)  
VDD  
Voltage on VDD pin relative to VSS  
Voltage on VDDQ pin relative to VSS  
Voltage on VDDL pin relative to VSS  
Voltage on any pin relative to VSS  
Storage Temperature  
–1.0  
–0.5  
–0.5  
–0.5  
–55  
+2.3  
+2.3  
+2.3  
+2.3  
+100  
V
1)2)  
1)2)  
1)  
VDDQ  
VDDL  
V
V
VIN, VOUT  
TSTG  
V
1)2)  
°C  
1) When VDD and VDDQ and VDDL are less than 500 mV; VREF may be equal to or less than 300 mV.  
2) Storage Temperature is the case surface temperature on the center/top side of the DRAM.  
Attention: Stresses greater than those listed under “Absolute Maximum Ratings” may cause permanent damage to  
the device. This is a stress rating only and functional operation of the device at these or any other  
conditions above those indicated in the operational sections of this specification is not implied. Exposure  
to absolute maximum rating conditions for extended periods may affect reliability.  
TABLE 9  
DRAM Component Operating Temperature Range  
Symbol  
Parameter  
Rating  
Unit  
Note  
Min.  
Max.  
1)2)3)4)  
TOPER  
Operating Temperature  
0
95  
°C  
1) Operating Temperature is the case surface temperature on the center / top side of the DRAM.  
2) The operating temperature range are the temperatures where all DRAM specification will be supported. During operation, the DRAM case  
temperature must be maintained between 0 - 95 °C under all other specification parameters.  
3) Above 85 °C the Auto-Refresh command interval has to be reduced to tREFI= 3.9 µs  
4) When operating this product in the 85 °C to 95 °C TCASE temperature range, the High Temperature Self Refresh has to be enabled by  
setting EMR(2) bit A7 to “1”. When the High Temperature Self Refresh is enabled there is an increase of IDD6 by approximately 50%  
Rev. 1.3, 2006-12  
16  
03292006-6GMD-RSFT  
Internet Data Sheet  
HYS[64/72]T[32/64/128]xx0HU-[25F/2.5/3/3S/3.7/5]-B  
Unbuffered DDR2 SDRAM Module  
3.2  
DC Operating Conditions  
This chapter contains the DC Operating Conditions tables.  
TABLE 10  
Operating Conditions  
Parameter  
Symbol  
Values  
Min.  
Unit  
Note  
Max.  
Operating temperature (ambient)  
DRAM Case Temperature  
TOPR  
TCASE  
TSTG  
PBar  
HOPR  
0
+65  
+95  
+100  
+105  
90  
°C  
°C  
°C  
kPa  
%
1)2)3)4)  
5)  
0
Storage Temperature  
– 50  
+69  
10  
Barometric Pressure (operating & storage)  
Operating Humidity (relative)  
1) DRAM Component Case Temperature is the surface temperature in the center on the top side of any of the DRAMs.  
2) Within the DRAM Component Case Temperature Range all DRAM specifications will be supported  
3) Above 85 °C DRAM Case Temperature the Auto-Refresh command interval has to be reduced to tREFI = 3.9 µs  
4) When operating this product in the 85 °C to 95 °C TCASE temperature range, the High Temperature Self Refresh has to be enabled by  
setting EMR(2) bit A7 to “1”. When the High Temperature Self Refresh is enabled there is an increase of IDD6 by approximately 50%.  
5) Up to 3000 m.  
TABLE 11  
Supply Voltage Levels and DC Operating Conditions  
Parameter  
Symbol  
Values  
Min.  
Unit  
Note  
Typ.  
Max.  
Device Supply Voltage  
Output Supply Voltage  
Input Reference Voltage  
SPD Supply Voltage  
VDD  
1.7  
1.8  
1.9  
V
1)  
2)  
VDDQ  
VREF  
1.7  
1.8  
1.9  
V
0.49 × VDDQ  
0.5 × VDDQ  
0.51 × VDDQ  
V
VDDSPD  
VIH(DC)  
VIL (DC  
IL  
1.7  
3.6  
V
DC Input Logic High  
VREF + 0.125  
V
V
5
DDQ + 0.3  
V
DC Input Logic Low  
)
– 0.30  
– 5  
REF – 0.125  
V
3)  
In / Output Leakage Current  
µA  
1) Under all conditions, VDDQ must be less than or equal to VDD  
2) Peak to peak AC noise on VREF may not exceed ± 2% VREF (DC).VREF is also expected to track noise in VDDQ  
3) Input voltage for any connector pin under test of 0 V VIN VDDQ + 0.3 V; all other pins at 0 V. Current is per pin  
.
Rev. 1.3, 2006-12  
17  
03292006-6GMD-RSFT  
Internet Data Sheet  
HYS[64/72]T[32/64/128]xx0HU-[25F/2.5/3/3S/3.7/5]-B  
Unbuffered DDR2 SDRAM Module  
3.3  
Timing Characteristics  
This chapter describes the AC Characteristics.  
3.3.1  
Speed Grade Definitions  
All Speed grades faster than DDR2-DDR400B comply with DDR2-DDR400B timing specifications(tCK = 5ns with tRAS = 40ns).  
Speed Grade Definition for: DDR2–800(Table 12), DDR2–667(Table 13), DDR2–533C(Table 14) and DDR2–400B(Table 15)  
TABLE 12  
Speed Grade Definition Speed Bins for DDR2–800  
Speed Grade  
DDR2–800D  
DDR2–800E  
Unit  
Note  
QAG Sort Name  
CAS-RCD-RP latencies  
–25F  
–2.5  
5–5–5  
6–6–6  
tCK  
Parameter  
Symbol  
Min.  
Max.  
Min.  
Max.  
1)2)3)4)  
1)2)3)4)  
1)2)3)4)  
1)2)3)4)  
1)2)3)4)5)  
1)2)3)4)  
1)2)3)4)  
1)2)3)4)  
Clock Frequency  
@ CL = 3  
@ CL = 4  
@ CL = 5  
@ CL = 6  
tCK  
5
8
5
8
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
tCK  
3.75  
2.5  
8
3.75  
3
8
tCK  
8
8
tCK  
2.5  
8
2.5  
45  
60  
15  
15  
8
Row Active Time  
Row Cycle Time  
RAS-CAS-Delay  
Row Precharge Time  
tRAS  
tRC  
tRCD  
tRP  
45  
70000  
70000  
57.5  
12.5  
12.5  
1) Timings are guaranteed with CK/CK differential Slew Rate of 2.0 V/ns. For DQS signals timings are guaranteed with a differential Slew  
Rate of 2.0 V/ns in differential strobe mode and a Slew Rate of 1 V/ns in single ended mode. Timings are further guaranteed for normal  
OCD drive strength (EMRS(1) A1 = 0)  
2) The CK/CK input reference level (for timing reference to CK/CK) is the point at which CK and CK cross. The DQS / DQS, RDQS / RDQS,  
input reference level is the crosspoint when in differential strobe mode.  
3) Inputs are not recognized as valid until VREF stabilizes. During the period before VREF stabilizes, CKE = 0.2 x VDDQ is recognized as low.  
4) The output timing reference voltage level is VTT  
.
5) RAS.MAX is calculated from the maximum amount of time a DDR2 device can operate without a refresh command which is equal to 9 x tREFI  
t
.
TABLE 13  
Speed Grade Definition Speed Bins for DDR2–667  
Speed Grade  
DDR2–667C  
DDR2–667D  
Unit  
Note  
QAG Sort Name  
CAS-RCD-RP latencies  
–3  
–3S  
4–4–4  
5–5–5  
tCK  
Parameter  
Symbol  
Min.  
Max.  
Min.  
Max.  
1)2)3)4)  
1)2)3)4)  
1)2)3)4)  
Clock Frequency  
@ CL = 3  
@ CL = 4  
@ CL = 5  
tCK  
tCK  
tCK  
5
3
3
8
8
8
5
8
8
8
ns  
ns  
ns  
3.75  
3
Rev. 1.3, 2006-12  
18  
03292006-6GMD-RSFT  
Internet Data Sheet  
HYS[64/72]T[32/64/128]xx0HU-[25F/2.5/3/3S/3.7/5]-B  
Unbuffered DDR2 SDRAM Module  
Speed Grade  
DDR2–667C  
–3  
DDR2–667D  
–3S  
Unit  
Note  
QAG Sort Name  
CAS-RCD-RP latencies  
Parameter  
4–4–4  
5–5–5  
tCK  
Symbol  
Min.  
Max.  
Min.  
Max.  
1)2)3)4)5)  
1)2)3)4)  
1)2)3)4)  
1)2)3)4)  
Row Active Time  
Row Cycle Time  
RAS-CAS-Delay  
Row Precharge Time  
tRAS  
tRC  
tRCD  
tRP  
45  
57  
12  
12  
70000  
45  
60  
15  
15  
70000  
ns  
ns  
ns  
ns  
1) Timings are guaranteed with CK/CK differential Slew Rate of 2.0 V/ns. For DQS signals timings are guaranteed with a differential Slew  
Rate of 2.0 V/ns in differential strobe mode and a Slew Rate of 1 V/ns in single ended mode. Timings are further guaranteed for normal  
OCD drive strength (EMRS(1) A1 = 0) .  
2) The CK/CK input reference level (for timing reference to CK/CK) is the point at which CK and CK cross. The DQS / DQS, RDQS / RDQS,  
input reference level is the crosspoint when in differential strobe mode  
3) Inputs are not recognized as valid until VREF stabilizes. During the period before VREF stabilizes, CKE = 0.2 x VDDQ is recognized as low.  
4) The output timing reference voltage level is VTT  
.
5) RAS.MAX is calculated from the maximum amount of time a DDR2 device can operate without a refresh command which is equal to 9 x tREFI  
t
.
TABLE 14  
Speed Grade Definition Speed Bins for DDR2–533C  
Speed Grade  
DDR2–533C  
Unit  
Note  
QAG Sort Name  
CAS-RCD-RP latencies  
–3.7  
4–4–4  
tCK  
Parameter  
Symbol  
Min.  
Max.  
1)2)3)4)  
1)2)3)4)  
1)2)3)4)  
1)2)3)4)5)  
1)2)3)4)  
1)2)3)4)  
1)2)3)4)  
Clock Frequency  
@ CL = 3  
@ CL = 4  
@ CL = 5  
tCK  
5
8
ns  
ns  
ns  
ns  
ns  
ns  
ns  
tCK  
3.75  
3.75  
45  
8
tCK  
8
Row Active Time  
Row Cycle Time  
RAS-CAS-Delay  
Row Precharge Time  
tRAS  
tRC  
tRCD  
tRP  
70000  
60  
15  
15  
1) Timings are guaranteed with CK/CK differential Slew Rate of 2.0 V/ns. For DQS signals timings are guaranteed with a differential Slew  
Rate of 2.0 V/ns in differential strobe mode and a Slew Rate of 1 V/ns in single ended mode.Timings are further guaranteed for normal  
OCD drive strength (EMRS(1) A1 = 0)  
2) The CK/CK input reference level (for timing reference to CK/CK) is the point at which CK and CK cross. The DQS / DQS, RDQS / RDQS,  
input reference level is the crosspoint when in differential strobe mode.  
3) Inputs are not recognized as valid until VREF stabilizes. During the period before VREF stabilizes, CKE = 0.2 x VDDQ is recognized as low.  
4) The output timing reference voltage level is VTT  
.
5) RAS.MAX is calculated from the maximum amount of time a DDR2 device can operate without a refresh command which is equal to 9 x tREFI  
t
.
Rev. 1.3, 2006-12  
19  
03292006-6GMD-RSFT  
Internet Data Sheet  
HYS[64/72]T[32/64/128]xx0HU-[25F/2.5/3/3S/3.7/5]-B  
Unbuffered DDR2 SDRAM Module  
TABLE 15  
Speed Grade Definition Speed Bins for DDR2-400B  
Speed Grade  
DDR2–400B  
Unit  
Note  
QAG Sort Name  
CAS-RCD-RP latencies  
–5  
3–3–3  
tCK  
Parameter  
Symbol  
Min.  
Max.  
1)2)3)4)  
1)2)3)4)  
1)2)3)4)  
1)2)3)4)5)  
1)2)3)4)  
1)2)3)4)  
1)2)3)4)  
Clock Frequency  
@ CL = 3  
@ CL = 4  
@ CL = 5  
tCK  
5
8
ns  
ns  
ns  
ns  
ns  
ns  
ns  
tCK  
5
8
tCK  
5
8
Row Active Time  
Row Cycle Time  
RAS-CAS-Delay  
Row Precharge Time  
tRAS  
tRC  
tRCD  
tRP  
40  
55  
15  
15  
70000  
1) Timings are guaranteed with CK/CK differential Slew Rate of 2.0 V/ns. For DQS signals timings are guaranteed with a differential Slew  
Rate of 2.0 V/ns in differential strobe mode and a Slew Rate of 1 V/ns in single ended mode. Timings are further guaranteed for normal  
OCD drive strength (EMRS(1) A1 = 0) .  
2) The CK/CK input reference level (for timing reference to CK/CK) is the point at which CK and CK cross. The DQS / DQS, RDQS / RDQS,  
input reference level is the crosspoint when in differential strobe mode  
3) Inputs are not recognized as valid until VREF stabilizes. During the period before VREF stabilizes, CKE = 0.2 x VDDQ is recognized as low.  
4) The output timing reference voltage level is VTT  
.
5) tRAS.MAX is calculated from the maximum amount of time a DDR2 device can operate without a refresh command which is equal to 9 x tREFI  
.
3.3.2  
Component AC Timing Parameters  
Timing Parameters for: DDR2–800(Table 16), DDR2–667(Table 17), DDR2–533C(Table 18) and DDR2–400B(Table 19)  
TABLE 16  
DRAM Component Timing Parameter by Speed Grade - DDR2–800  
Parameter  
Symbol  
DDR2–800  
Unit  
Note  
1)2)3)4)5)6)7)8)  
Min.  
Max.  
9)  
DQ output access time from CK / CK  
CAS to CAS command delay  
Average clock high pulse width  
Average clock period  
tAC  
–400  
2
+400  
ps  
tCCD  
nCK  
tCK.AVG  
ps  
10)11)  
10)11)  
12)  
tCH.AVG  
tCK.AVG  
0.48  
2500  
3
0.52  
8000  
CKE minimum pulse width ( high and low pulse tCKE  
nCK  
width)  
10)11)  
13)14)  
Average clock low pulse width  
tCL.AVG  
0.48  
0.52  
tCK.AVG  
nCK  
ns  
Auto-Precharge write recovery + precharge time tDAL  
WR + tnRP  
Minimum time clocks remain ON after CKE  
asynchronously drops LOW  
tDELAY  
tIS + tCK .AVG  
tIH  
+
––  
19)20)15)  
DQ and DM input hold time  
tDH.BASE  
125  
––  
ps  
Rev. 1.3, 2006-12  
20  
03292006-6GMD-RSFT  
Internet Data Sheet  
HYS[64/72]T[32/64/128]xx0HU-[25F/2.5/3/3S/3.7/5]-B  
Unbuffered DDR2 SDRAM Module  
Parameter  
Symbol  
DDR2–800  
Min.  
Unit  
Note  
1)2)3)4)5)6)7)8)  
Max.  
DQ and DM input pulse width for each input  
DQS output access time from CK / CK  
DQS input high pulse width  
tDIPW  
0.35  
–350  
0.35  
0.35  
tCK.AVG  
ps  
9)  
tDQSCK  
tDQSH  
tDQSL  
+350  
tCK.AVG  
tCK.AVG  
ps  
DQS input low pulse width  
16)  
17)  
DQS-DQ skew for DQS & associated DQ signals tDQSQ  
200  
+ 0.25  
DQS latching rising transition to associated clock tDQSS  
– 0.25  
tCK.AVG  
edges  
18)19)20)  
17)  
DQ and DM input setup time  
DQS falling edge hold time from CK  
DQS falling edge to CK setup time  
CK half pulse width  
tDS.BASE  
50  
––  
__  
ps  
tDSH  
tDSS  
tHP  
0.2  
0.2  
tCK.AVG  
tCK.AVG  
ps  
17)  
21)  
Min(tCH.ABS  
,
tCL.ABS  
)
9)22)  
Data-out high-impedance time from CK / CK  
Address and control input hold time  
tHZ  
tAC.MAX  
ps  
23)25)  
tIH.BASE  
250  
0.6  
ps  
Control & address input pulse width for each input tIPW  
tCK.AVG  
ps  
24)25)  
9)22)  
9)22)  
31)  
Address and control input setup time  
DQ low impedance time from CK/CK  
DQS/DQS low-impedance time from CK / CK  
MRS command to ODT update delay  
Mode register set command cycle time  
OCD drive mode output delay  
DQ/DQS output hold time from DQS  
DQ hold skew factor  
tIS.BASE  
175  
tLZ.DQ  
tLZ.DQS  
tMOD  
tMRD  
tOIT  
2 x tAC.MIN  
tAC.MAX  
tAC.MAX  
12  
ps  
tAC.MIN  
ps  
0
2
0
ns  
nCK  
ns  
31)  
12  
26)  
tQH  
t
HP tQHS  
ps  
27)  
tQHS  
300  
1.1  
0.6  
ps  
28)29)  
28)30)  
31)  
Read preamble  
tRPRE  
tRPST  
tRTP  
0.9  
0.4  
7.5  
0.35  
0.4  
15  
tCK.AVG  
tCK.AVG  
ns  
Read postamble  
Internal Read to Precharge command delay  
Write preamble  
tWPRE  
tWPST  
tWR  
tCK.AVG  
tCK.AVG  
ns  
Write postamble  
0.6  
31)  
Write recovery time  
31)32)  
Internal write to read command delay  
Exit power down to read command  
tWTR  
tXARD  
7.5  
2
ns  
nCK  
nCK  
Exit active power-down mode to read command tXARDS  
8 – AL  
(slow exit, lower power)  
Exit precharge power-down to any valid  
command (other than NOP or Deselect)  
tXP  
2
nCK  
31)  
Exit self-refresh to a non-read command  
Exit self-refresh to read command  
tXSNR  
tXSRD  
t
RFC +10  
ns  
200  
nCK  
nCK  
Write command to DQS associated clock edges WL  
RL – 1  
1) For details and notes see the relevant Qimonda component data sheet  
2)  
V
DDQ = 1.8 V ± 0.1V; VDD = 1.8 V ± 0.1 V. See notes 5)6)7)8)  
Rev. 1.3, 2006-12  
21  
03292006-6GMD-RSFT  
Internet Data Sheet  
HYS[64/72]T[32/64/128]xx0HU-[25F/2.5/3/3S/3.7/5]-B  
Unbuffered DDR2 SDRAM Module  
3) Timing that is not specified is illegal and after such an event, in order to guarantee proper operation, the DRAM must be powered down  
and then restarted through the specified initialization sequence before normal operation can continue.  
4) Timings are guaranteed with CK/CK differential Slew Rate of 2.0 V/ns. For DQS signals timings are guaranteed with a differential Slew  
Rate of 2.0 V/ns in differential strobe mode and a Slew Rate of 1 V/ns in single ended mode.  
5) The CK / CK input reference level (for timing reference to CK / CK) is the point at which CK and CK cross. The DQS / DQS, RDQS / RDQS,  
input reference level is the crosspoint when in differential strobe mode.  
6) Inputs are not recognized as valid until VREF stabilizes. During the period before VREF stabilizes, CKE = 0.2 x VDDQ is recognized as low.  
7) The output timing reference voltage level is VTT  
.
8) New units, ‘tCK.AVG‘ and ‘nCK‘, are introduced in DDR2–667 and DDR2–800. Unit ‘tCK.AVG‘ represents the actual tCK.AVG of the input clock  
under operation. Unit ‘nCK‘ represents one clock cycle of the input clock, counting the actual clock edges. Note that in DDR2–400 and  
DDR2–533, ‘tCK‘ is used for both concepts. Example: tXP = 2 [nCK] means; if Power Down exit is registered at Tm, an Active command  
may be registered at Tm + 2, even if (Tm + 2 - Tm) is 2 x tCK.AVG + tERR.2PER(Min)  
.
9) When the device is operated with input clock jitter, this parameter needs to be derated by the actual tERR(6-10per) of the input clock. (output  
deratings are relative to the SDRAM input clock.) For example, if the measured jitter into a DDR2–667 SDRAM has tERR(6-10PER).MIN = – 272  
ps and tERR(6- 10PER).MAX = + 293 ps, then tDQSCK.MIN(DERATED) = tDQSCK.MIN tERR(6-10PER).MAX = – 400 ps – 293 ps = – 693 ps and  
tDQSCK.MAX(DERATED) = tDQSCK.MAX tERR(6-10PER).MIN = 400 ps + 272 ps = + 672 ps. Similarly, tLZ.DQ for DDR2–667 derates to tLZ.DQ.MIN(DERATED)  
= - 900 ps – 293 ps = – 1193 ps and tLZ.DQ.MAX(DERATED) = 450 ps + 272 ps = + 722 ps. (Caution on the MIN/MAX usage!)  
10) Input clock jitter spec parameter. These parameters are referred to as 'input clock jitter spec parameters' and these parameters apply to  
DDR2–667 and DDR2–800 only. The jitter specified is a random jitter meeting a Gaussian distribution.  
11) These parameters are specified per their average values, however it is understood that the relationship between the average timing and  
the absolute instantaneous timing holds all the times (min. and max of SPEC values are to be used for calculations ).  
12) tCKE.MIN of 3 clocks means CKE must be registered on three consecutive positive clock edges. CKE must remain at the valid input level the  
entire time it takes to achieve the 3 clocks of registration. Thus, after any CKE transition, CKE may not transition from its valid level during  
the time period of tIS + 2 x tCK + tIH.  
13) DAL = WR + RU{tRP(ns) / tCK(ns)}, where RU stands for round up. WR refers to the tWR parameter stored in the MRS. For tRP, if the result  
of the division is not already an integer, round up to the next highest integer. tCK refers to the application clock period. Example: For  
DDR2–533 at tCK = 3.75 ns with tWR programmed to 4 clocks. tDAL = 4 + (15 ns / 3.75 ns) clocks = 4 + (4) clocks = 8 clocks.  
14) tDAL.nCK = WR [nCK] + tnRP.nCK = WR + RU{tRP [ps] / tCK.AVG[ps] }, where WR is the value programmed in the EMR.  
15) Input waveform timing tDH with differential data strobe enabled MR[bit10] = 0, is referenced from the differential data strobe crosspoint to  
the input signal crossing at the VIH.DC level for a falling signal and from the differential data strobe crosspoint to the input signal crossing  
at the VIL.DC level for a rising signal applied to the device under test. DQS, DQS signals must be monotonic between VIL.DC.MAX and  
VIH.DC.MIN. See Figure 4.  
16) tDQSQ: Consists of data pin skew and output pattern effects, and p-channel to n-channel variation of the output drivers as well as output  
slew rate mismatch between DQS / DQS and associated DQ in any given cycle.  
17) These parameters are measured from a data strobe signal ((L/U/R)DQS / DQS) crossing to its respective clock signal (CK / CK) crossing.  
The spec values are not affected by the amount of clock jitter applied (i.e. tJIT.PER, tJIT.CC, etc.), as these are relative to the clock signal  
crossing. That is, these parameters should be met whether clock jitter is present or not.  
18) Input waveform timing tDS with differential data strobe enabled MR[bit10] = 0, is referenced from the input signal crossing at the VIH.AC level  
to the differential data strobe crosspoint for a rising signal, and from the input signal crossing at the VIL.AC level to the differential data strobe  
crosspoint for a falling signal applied to the device under test. DQS, DQS signals must be monotonic between Vil(DC)MAX and Vih(DC)MIN. See  
Figure 4.  
19) If tDS or tDH is violated, data corruption may occur and the data must be re-written with valid data before a valid READ can be executed.  
20) These parameters are measured from a data signal ((L/U)DM, (L/U)DQ0, (L/U)DQ1, etc.) transition edge to its respective data strobe signal  
((L/U/R)DQS / DQS) crossing.  
21) tHP is the minimum of the absolute half period of the actual input clock. tHP is an input parameter but not an input specification parameter.  
It is used in conjunction with tQHS to derive the DRAM output timing tQH. The value to be used for tQH calculation is determined by the  
following equation; tHP = MIN (tCH.ABS, tCL.ABS), where, tCH.ABS is the minimum of the actual instantaneous clock high time; tCL.ABS is the  
minimum of the actual instantaneous clock low time.  
22) tHZ and tLZ transitions occur in the same access time as valid data transitions. These parameters are referenced to a specific voltage level  
which specifies when the device output is no longer driving (tHZ), or begins driving (tLZ) .  
23) Input waveform timing is referenced from the input signal crossing at the VIL.DC level for a rising signal and VIH.DC for a falling signal applied  
to the device under test. See Figure 5.  
24) Input waveform timing is referenced from the input signal crossing at the VIH.AC level for a rising signal and VIL.AC for a falling signal applied  
to the device under test. See Figure 5.  
25) These parameters are measured from a command/address signal (CKE, CS, RAS, CAS, WE, ODT, BA0, A0, A1, etc.) transition edge to  
its respective clock signal (CK / CK) crossing. The spec values are not affected by the amount of clock jitter applied (i.e. tJIT.PER, tJIT.CC  
,
etc.), as the setup and hold are relative to the clock signal crossing that latches the command/address. That is, these parameters should  
be met whether clock jitter is present or not.  
Rev. 1.3, 2006-12  
22  
03292006-6GMD-RSFT  
Internet Data Sheet  
HYS[64/72]T[32/64/128]xx0HU-[25F/2.5/3/3S/3.7/5]-B  
Unbuffered DDR2 SDRAM Module  
26) tQH = tHP tQHS, where: tHP is the minimum of the absolute half period of the actual input clock; and tQHS is the specification value under the  
max column. {The less half-pulse width distortion present, the larger the tQH value is; and the larger the valid data eye will be.}  
Examples: 1) If the system provides tHP of 1315 ps into a DDR2–667 SDRAM, the DRAM provides tQH of 975 ps minimum. 2) If the system  
provides tHP of 1420 ps into a DDR2–667 SDRAM, the DRAM provides tQH of 1080 ps minimum.  
27) tQHS accounts for: 1) The pulse duration distortion of on-chip clock circuits, which represents how well the actual tHP at the input is  
transferred to the output; and 2) The worst case push-out of DQS on one transition followed by the worst case pull-in of DQ on the next  
transition, both of which are independent of each other, due to data pin skew, output pattern effects, and pchannel to n-channel variation  
of the output drivers.  
28) tRPST end point and tRPRE begin point are not referenced to a specific voltage level but specify when the device output is no longer driving  
(tRPST), or begins driving (tRPRE). Figure 3 shows a method to calculate these points when the device is no longer driving (tRPST), or begins  
driving (tRPRE) by measuring the signal at two different voltages. The actual voltage measurement points are not critical as long as the  
calculation is consistent.  
29) When the device is operated with input clock jitter, this parameter needs to be derated by the actual tJIT.PER of the input clock. (output  
deratings are relative to the SDRAM input clock.) For example, if the measured jitter into a DDR2–667 SDRAM has tJIT.PER.MIN = – 72 ps  
and tJIT.PER.MAX = + 93 ps, then tRPRE.MIN(DERATED) = tRPRE.MIN + tJIT.PER.MIN = 0.9 x tCK.AVG – 72 ps = + 2178 ps and tRPRE.MAX(DERATED) = tRPRE.MAX  
+ tJIT.PER.MAX = 1.1 x tCK.AVG + 93 ps = + 2843 ps. (Caution on the MIN/MAX usage!).  
30) When the device is operated with input clock jitter, this parameter needs to be derated by the actual tJIT.DUTY of the input clock. (output  
deratings are relative to the SDRAM input clock.) For example, if the measured jitter into a DDR2–667 SDRAM has tJIT.DUTY.MIN = – 72 ps  
and tJIT.DUTY.MAX = + 93 ps, then tRPST.MIN(DERATED) = tRPST.MIN + tJIT.DUTY.MIN = 0.4 x tCK.AVG – 72 ps = + 928 ps and tRPST.MAX(DERATED) = tRPST.MAX  
+ tJIT.DUTY.MAX = 0.6 x tCK.AVG + 93 ps = + 1592 ps. (Caution on the MIN/MAX usage!).  
31) For these parameters, the DDR2 SDRAM device is characterized and verified to support tnPARAM = RU{tPARAM / tCK.AVG}, which is in clock  
cycles, assuming all input clock jitter specifications are satisfied. For example, the device will support tnRP = RU{tRP / tCK.AVG}, which is in  
clock cycles, if all input clock jitter specifications are met. This means: For DDR2–667 5–5–5, of which tRP = 15 ns, the device will support  
tnRP = RU{tRP / tCK.AVG} = 5, i.e. as long as the input clock jitter specifications are met, Precharge command at Tm and Active command at  
Tm + 5 is valid even if (Tm + 5 - Tm) is less than 15 ns due to input clock jitter.  
32) tWTR is at lease two clocks (2 x tCK) independent of operation frequency.  
TABLE 17  
DRAM Component Timing Parameter by Speed Grade - DDR2–667  
Parameter  
Symbol  
DDR2–667  
Unit  
Note  
1)2)3)4)5)6)7)8)  
Min.  
Max.  
9)  
DQ output access time from CK / CK  
CAS to CAS command delay  
Average clock high pulse width  
Average clock period  
tAC  
–450  
2
+450  
ps  
tCCD  
nCK  
tCK.AVG  
ps  
10)11)  
12)  
tCH.AVG  
tCK.AVG  
0.48  
3000  
3
0.52  
8000  
CKE minimum pulse width ( high and low pulse tCKE  
nCK  
width)  
10)11)  
13)14)  
Average clock low pulse width  
tCL.AVG  
0.48  
0.52  
tCK.AVG  
nCK  
ns  
Auto-Precharge write recovery + precharge time tDAL  
WR + tnRP  
Minimum time clocks remain ON after CKE  
asynchronously drops LOW  
tDELAY  
tIS + tCK .AVG  
tIH  
+
19)20)15)  
9)  
DQ and DM input hold time  
tDH.BASE  
tDIPW  
tDQSCK  
tDQSH  
175  
ps  
DQ and DM input pulse width for each input  
DQS output access time from CK / CK  
DQS input high pulse width  
0.35  
–400  
0.35  
0.35  
tCK.AVG  
ps  
+400  
tCK.AVG  
tCK.AVG  
ps  
DQS input low pulse width  
tDQSL  
16)  
17)  
DQS-DQ skew for DQS & associated DQ signals tDQSQ  
240  
+ 0.25  
DQS latching rising transition to associated clock tDQSS  
– 0.25  
tCK.AVG  
edges  
Rev. 1.3, 2006-12  
23  
03292006-6GMD-RSFT  
Internet Data Sheet  
HYS[64/72]T[32/64/128]xx0HU-[25F/2.5/3/3S/3.7/5]-B  
Unbuffered DDR2 SDRAM Module  
Parameter  
Symbol  
DDR2–667  
Min.  
Unit  
Note  
1)2)3)4)5)6)7)8)  
Max.  
18)19)20)  
17)  
DQ and DM input setup time  
DQS falling edge hold time from CK  
DQS falling edge to CK setup time  
CK half pulse width  
tDS.BASE  
tDSH  
100  
0.2  
0.2  
ps  
tCK.AVG  
tCK.AVG  
ps  
17)  
tDSS  
21)  
tHP  
Min(tCH.ABS,  
tCL.ABS  
)
9)22)  
Data-out high-impedance time from CK / CK  
Address and control input hold time  
tHZ  
tAC.MAX  
ps  
25)23)  
tIH.BASE  
275  
0.6  
ps  
Control & address input pulse width for each input tIPW  
tCK.AVG  
ps  
24)25)  
9)22)  
9)22)  
31)  
Address and control input setup time  
DQ low impedance time from CK/CK  
DQS/DQS low-impedance time from CK / CK  
MRS command to ODT update delay  
Mode register set command cycle time  
OCD drive mode output delay  
DQ/DQS output hold time from DQS  
DQ hold skew factor  
tIS.BASE  
200  
tLZ.DQ  
tLZ.DQS  
tMOD  
tMRD  
tOIT  
2 x tAC.MIN  
tAC.MAX  
tAC.MAX  
12  
ps  
tAC.MIN  
ps  
0
2
0
ns  
nCK  
ns  
31)  
12  
26)  
tQH  
t
HP tQHS  
ps  
27)  
tQHS  
340  
1.1  
0.6  
ps  
28)29)  
28)30)  
31)  
Read preamble  
tRPRE  
tRPST  
tRTP  
0.9  
0.4  
7.5  
0.35  
0.4  
15  
tCK.AVG  
tCK.AVG  
ns  
Read postamble  
Internal Read to Precharge command delay  
Write preamble  
tWPRE  
tWPST  
tWR  
tCK.AVG  
tCK.AVG  
ns  
Write postamble  
0.6  
31)  
Write recovery time  
31)32)  
Internal write to read command delay  
Exit power down to read command  
tWTR  
tXARD  
7.5  
2
ns  
nCK  
nCK  
Exit active power-down mode to read command tXARDS  
7 – AL  
(slow exit, lower power)  
Exit precharge power-down to any valid  
command (other than NOP or Deselect)  
tXP  
2
nCK  
31)  
Exit self-refresh to a non-read command  
Exit self-refresh to read command  
tXSNR  
tXSRD  
t
RFC +10  
ns  
200  
nCK  
nCK  
Write command to DQS associated clock edges WL  
RL–1  
1) For details and notes see the relevant Qimonda component data sheet  
2)  
V
DDQ = 1.8 V ± 0.1V; VDD = 1.8 V ± 0.1 V. See notes 5)6)7)8)  
3) Timing that is not specified is illegal and after such an event, in order to guarantee proper operation, the DRAM must be powered down  
and then restarted through the specified initialization sequence before normal operation can continue.  
4) Timings are guaranteed with CK/CK differential Slew Rate of 2.0 V/ns. For DQS signals timings are guaranteed with a differential Slew  
Rate of 2.0 V/ns in differential strobe mode and a Slew Rate of 1 V/ns in single ended mode.  
5) The CK / CK input reference level (for timing reference to CK / CK) is the point at which CK and CK cross. The DQS / DQS, RDQS / RDQS,  
input reference level is the crosspoint when in differential strobe mode.  
6) Inputs are not recognized as valid until VREF stabilizes. During the period before VREF stabilizes, CKE = 0.2 x VDDQ is recognized as low.  
7) The output timing reference voltage level is VTT  
.
Rev. 1.3, 2006-12  
24  
03292006-6GMD-RSFT  
Internet Data Sheet  
HYS[64/72]T[32/64/128]xx0HU-[25F/2.5/3/3S/3.7/5]-B  
Unbuffered DDR2 SDRAM Module  
8) New units, ‘tCK.AVG‘ and ‘nCK‘, are introduced in DDR2–667 and DDR2–800. Unit ‘tCK.AVG‘ represents the actual tCK.AVG of the input clock  
under operation. Unit ‘nCK‘ represents one clock cycle of the input clock, counting the actual clock edges. Note that in DDR2–400 and  
DDR2–533, ‘tCK‘ is used for both concepts. Example: tXP = 2 [nCK] means; if Power Down exit is registered at Tm, an Active command  
may be registered at Tm + 2, even if (Tm + 2 - Tm) is 2 x tCK.AVG + tERR.2PER(Min)  
.
9) When the device is operated with input clock jitter, this parameter needs to be derated by the actual tERR(6-10per) of the input clock. (output  
deratings are relative to the SDRAM input clock.) For example, if the measured jitter into a DDR2–667 SDRAM has tERR(6-10PER).MIN = – 272  
ps and tERR(6- 10PER).MAX = + 293 ps, then tDQSCK.MIN(DERATED) = tDQSCK.MIN tERR(6-10PER).MAX = – 400 ps – 293 ps = – 693 ps and  
tDQSCK.MAX(DERATED) = tDQSCK.MAX tERR(6-10PER).MIN = 400 ps + 272 ps = + 672 ps. Similarly, tLZ.DQ for DDR2–667 derates to tLZ.DQ.MIN(DERATED)  
= - 900 ps – 293 ps = – 1193 ps and tLZ.DQ.MAX(DERATED) = 450 ps + 272 ps = + 722 ps. (Caution on the MIN/MAX usage!)  
10) Input clock jitter spec parameter. These parameters are referred to as 'input clock jitter spec parameters' and these parameters apply to  
DDR2–667 and DDR2–800 only. The jitter specified is a random jitter meeting a Gaussian distribution.  
11) These parameters are specified per their average values, however it is understood that the relationship between the average timing and  
the absolute instantaneous timing holds all the times (min. and max of SPEC values are to be used for calculations ).  
12) tCKE.MIN of 3 clocks means CKE must be registered on three consecutive positive clock edges. CKE must remain at the valid input level the  
entire time it takes to achieve the 3 clocks of registration. Thus, after any CKE transition, CKE may not transition from its valid level during  
the time period of tIS + 2 x tCK + tIH.  
13) DAL = WR + RU{tRP(ns) / tCK(ns)}, where RU stands for round up. WR refers to the tWR parameter stored in the MRS. For tRP, if the result  
of the division is not already an integer, round up to the next highest integer. tCK refers to the application clock period. Example: For  
DDR2–533 at tCK = 3.75 ns with tWR programmed to 4 clocks. tDAL = 4 + (15 ns / 3.75 ns) clocks = 4 + (4) clocks = 8 clocks.  
14) tDAL.nCK = WR [nCK] + tnRP.nCK = WR + RU{tRP [ps] / tCK.AVG[ps] }, where WR is the value programmed in the EMR.  
15) Input waveform timing tDH with differential data strobe enabled MR[bit10] = 0, is referenced from the differential data strobe crosspoint to  
the input signal crossing at the VIH.DC level for a falling signal and from the differential data strobe crosspoint to the input signal crossing  
at the VIL.DC level for a rising signal applied to the device under test. DQS, DQS signals must be monotonic between VIL.DC.MAX and  
VIH.DC.MIN. See Figure 4.  
16) tDQSQ: Consists of data pin skew and output pattern effects, and p-channel to n-channel variation of the output drivers as well as output  
slew rate mismatch between DQS / DQS and associated DQ in any given cycle.  
17) These parameters are measured from a data strobe signal ((L/U/R)DQS / DQS) crossing to its respective clock signal (CK / CK) crossing.  
The spec values are not affected by the amount of clock jitter applied (i.e. tJIT.PER, tJIT.CC, etc.), as these are relative to the clock signal  
crossing. That is, these parameters should be met whether clock jitter is present or not.  
18) Input waveform timing tDS with differential data strobe enabled MR[bit10] = 0, is referenced from the input signal crossing at the VIH.AC level  
to the differential data strobe crosspoint for a rising signal, and from the input signal crossing at the VIL.AC level to the differential data strobe  
crosspoint for a falling signal applied to the device under test. DQS, DQS signals must be monotonic between Vil(DC)MAX and Vih(DC)MIN. See  
Figure 4.  
19) If tDS or tDH is violated, data corruption may occur and the data must be re-written with valid data before a valid READ can be executed.  
20) These parameters are measured from a data signal ((L/U)DM, (L/U)DQ0, (L/U)DQ1, etc.) transition edge to its respective data strobe signal  
((L/U/R)DQS / DQS) crossing.  
21) tHP is the minimum of the absolute half period of the actual input clock. tHP is an input parameter but not an input specification parameter.  
It is used in conjunction with tQHS to derive the DRAM output timing tQH. The value to be used for tQH calculation is determined by the  
following equation; tHP = MIN (tCH.ABS, tCL.ABS), where, tCH.ABS is the minimum of the actual instantaneous clock high time; tCL.ABS is the  
minimum of the actual instantaneous clock low time.  
22) tHZ and tLZ transitions occur in the same access time as valid data transitions. These parameters are referenced to a specific voltage level  
which specifies when the device output is no longer driving (tHZ), or begins driving (tLZ) .  
23) Input waveform timing is referenced from the input signal crossing at the VIL.DC level for a rising signal and VIH.DC for a falling signal applied  
to the device under test. See Figure 5.  
24) Input waveform timing is referenced from the input signal crossing at the VIH.AC level for a rising signal and VIL.AC for a falling signal applied  
to the device under test. See Figure 5.  
25) These parameters are measured from a command/address signal (CKE, CS, RAS, CAS, WE, ODT, BA0, A0, A1, etc.) transition edge to  
its respective clock signal (CK / CK) crossing. The spec values are not affected by the amount of clock jitter applied (i.e. tJIT.PER, tJIT.CC  
,
etc.), as the setup and hold are relative to the clock signal crossing that latches the command/address. That is, these parameters should  
be met whether clock jitter is present or not.  
26) tQH = tHP tQHS, where: tHP is the minimum of the absolute half period of the actual input clock; and tQHS is the specification value under the  
max column. {The less half-pulse width distortion present, the larger the tQH value is; and the larger the valid data eye will be.}  
Examples: 1) If the system provides tHP of 1315 ps into a DDR2–667 SDRAM, the DRAM provides tQH of 975 ps minimum. 2) If the system  
provides tHP of 1420 ps into a DDR2–667 SDRAM, the DRAM provides tQH of 1080 ps minimum.  
27) tQHS accounts for: 1) The pulse duration distortion of on-chip clock circuits, which represents how well the actual tHP at the input is  
transferred to the output; and 2) The worst case push-out of DQS on one transition followed by the worst case pull-in of DQ on the next  
transition, both of which are independent of each other, due to data pin skew, output pattern effects, and pchannel to n-channel variation  
of the output drivers.  
Rev. 1.3, 2006-12  
25  
03292006-6GMD-RSFT  
Internet Data Sheet  
HYS[64/72]T[32/64/128]xx0HU-[25F/2.5/3/3S/3.7/5]-B  
Unbuffered DDR2 SDRAM Module  
28) tRPST end point and tRPRE begin point are not referenced to a specific voltage level but specify when the device output is no longer driving  
(tRPST), or begins driving (tRPRE). Figure 3 shows a method to calculate these points when the device is no longer driving (tRPST), or begins  
driving (tRPRE) by measuring the signal at two different voltages. The actual voltage measurement points are not critical as long as the  
calculation is consistent.  
29) When the device is operated with input clock jitter, this parameter needs to be derated by the actual tJIT.PER of the input clock. (output  
deratings are relative to the SDRAM input clock.) For example, if the measured jitter into a DDR2–667 SDRAM has tJIT.PER.MIN = – 72 ps  
and tJIT.PER.MAX = + 93 ps, then tRPRE.MIN(DERATED) = tRPRE.MIN + tJIT.PER.MIN = 0.9 x tCK.AVG – 72 ps = + 2178 ps and tRPRE.MAX(DERATED) = tRPRE.MAX  
+ tJIT.PER.MAX = 1.1 x tCK.AVG + 93 ps = + 2843 ps. (Caution on the MIN/MAX usage!).  
30) When the device is operated with input clock jitter, this parameter needs to be derated by the actual tJIT.DUTY of the input clock. (output  
deratings are relative to the SDRAM input clock.) For example, if the measured jitter into a DDR2–667 SDRAM has tJIT.DUTY.MIN = – 72 ps  
and tJIT.DUTY.MAX = + 93 ps, then tRPST.MIN(DERATED) = tRPST.MIN + tJIT.DUTY.MIN = 0.4 x tCK.AVG – 72 ps = + 928 ps and tRPST.MAX(DERATED) = tRPST.MAX  
+ tJIT.DUTY.MAX = 0.6 x tCK.AVG + 93 ps = + 1592 ps. (Caution on the MIN/MAX usage!).  
31) For these parameters, the DDR2 SDRAM device is characterized and verified to support tnPARAM = RU{tPARAM / tCK.AVG}, which is in clock  
cycles, assuming all input clock jitter specifications are satisfied. For example, the device will support tnRP = RU{tRP / tCK.AVG}, which is in  
clock cycles, if all input clock jitter specifications are met. This means: For DDR2–667 5–5–5, of which tRP = 15 ns, the device will support  
tnRP = RU{tRP / tCK.AVG} = 5, i.e. as long as the input clock jitter specifications are met, Precharge command at Tm and Active command at  
Tm + 5 is valid even if (Tm + 5 - Tm) is less than 15 ns due to input clock jitter.  
32) tWTR is at lease two clocks (2 x tCK) independent of operation frequency.  
Rev. 1.3, 2006-12  
26  
03292006-6GMD-RSFT  
92+  
                                                                
ꢀꢇꢀ[ꢀP9  
                                                                      
977ꢀꢍꢀꢈ[ꢀP9ꢀ  
977ꢀꢍꢀ[ꢀP9ꢀ  
W/=ꢀ  
92+  
                                                                
ꢀꢇꢀꢈ[ꢀP9ꢀ  
                                                                       
W53  
                                                                                                         
5(ꢀEHJLꢀQꢀSRLQWꢀ  
                                                                                                                            
                                        
7
                                         
H  
                                                 
ꢀSRLꢀQW  
                                                     
                                                      
92/  
                                                                
ꢀꢍꢀꢈ[ꢀP9  
ꢀꢍꢀ[ꢀP9  
                                                                       
977ꢀꢇꢀ[ꢀP  
                                                                                    
9ꢀ  
92/  
                                                                
                                                                      
977ꢀꢇꢀꢈ[ꢀP9ꢀ  
7ꢂꢀ 7ꢈꢀ  
                                                     
W+=ꢎW536  
                                       
7ꢀHQG  
                                        
                                                    
ꢀSRLQ  
                                                       
W  
 ꢀꢈꢏ  
                                                   
7ꢂꢇ7ꢈꢀ  
                                                     
                                                      
W/=ꢎW  
                                                                        
535(ꢀE  
                                                                           
                                                                            
                                                                                                 
                                                                                                    
W  
 ꢀꢈꢏ7  
                                                                                        
ꢂꢇ7ꢈꢀ  
                                                                                           
                                                                                            
'46ꢀ  
                                         
W'+ꢀ  
W'6ꢀ  
                                                                         
                                                                                                                       
9,+ꢐDFꢑPLQ  
9,+ꢐGFꢑPLQ  
95 ()ꢐGFꢑꢀ  
                                                                                                   
                                                                                                                        
                                                                                                   
                                                                                                                        
9,/ꢐGFꢑPD[  
9,/ꢐDFꢑPD[  
96 6ꢀ  
                                                                                                   
                                                                                                                       
                                                                                                                       
                                                                                                   
                                                                                                                       
                                                                                                                       
W,+ꢀ  
                                                                         
W,+  
                                                      
W,6ꢀ  
W,6ꢀ  
                                                
                                                                                                                
                                                                                                                 
ꢑꢀ  
                                                                                                                 
ꢑꢀ  
9,/ꢐGF ꢀPD[  
                                                                                             
                                                                                                                 
ꢑꢀ  
9,/ꢐDF ꢀPD[  
                                                                                             
                                                                                                                 
ꢑꢀ  
Internet Data Sheet  
HYS[64/72]T[32/64/128]xx0HU-[25F/2.5/3/3S/3.7/5]-B  
Unbuffered DDR2 SDRAM Module  
FIGURE 3  
Method for calculating transitions and endpoint  
W+=ꢀ  
W536 QG  
7ꢂꢀ 7ꢈꢀ  
HJLQꢀSRLQ  
FIGURE 4  
Differential input waveform timing - tDS and tDS  
'46ꢀ  
W'+ꢀ  
W'6ꢀ  
9' '4  
FIGURE 5  
Differential input waveform timing - tlS and tlH  
&.ꢀ  
&.ꢀ  
9' '4  
9,+ꢐDF ꢀPLQꢀ  
9,+ꢐGF ꢀPLQꢀ  
95 ()ꢐGFꢑꢀ  
96 6ꢀ  
Rev. 1.3, 2006-12  
27  
03292006-6GMD-RSFT  
Internet Data Sheet  
HYS[64/72]T[32/64/128]xx0HU-[25F/2.5/3/3S/3.7/5]-B  
Unbuffered DDR2 SDRAM Module  
TABLE 18  
DRAM Component Timing Parameter by Speed Grade - DDR2–533  
Parameter  
Symbol  
DDR2–533  
Unit  
Note  
1)2)3)4)5)6)7)  
Min.  
Max.  
DQ output access time from CK / CK  
CAS A to CAS B command period  
CK, CK high-level width  
tAC  
–500  
2
+500  
ps  
tCCD  
tCH  
tCKE  
tCL  
tCK  
tCK  
tCK  
tCK  
tCK  
0.45  
3
0.55  
CKE minimum high and low pulse width  
CK, CK low-level width  
0.45  
WR + tRP  
0.55  
8)18)  
9)  
Auto-Precharge write recovery + precharge  
time  
tDAL  
Minimum time clocks remain ON after CKE  
asynchronously drops LOW  
tDELAY  
tIS + tCK + tIH  
225  
ns  
ps  
ps  
10)  
11)  
DQ and DM input hold time (differential data  
strobe)  
t
t
DH(base)  
DQ and DM input hold time (single ended data  
strobe)  
DH1(base)  
–25  
DQ and DM input pulse width (each input)  
DQS output access time from CK / CK  
tDIPW  
0.35  
–450  
0.35  
tCK  
ps  
tCK  
ps  
tDQSCK  
+450  
DQS input low (high) pulse width (write cycle) tDQSL,H  
11)  
DQS-DQ skew (for DQS & associated DQ  
signals)  
tDQSQ  
300  
Write command to 1st DQS latching transition tDQSS  
– 0.25  
100  
+ 0.25  
tCK  
11)  
11)  
DQ and DM input setup time (differential data  
strobe)  
t
DS(base)  
ps  
DQ and DM input setup time (single ended data tDS1(base)  
strobe)  
–25  
0.2  
ps  
DQS falling edge hold time from CK (write  
cycle)  
tDSH  
tCK  
tCK  
DQS falling edge to CK setup time (write cycle) tDSS  
0.2  
12)  
13)  
11)  
Clock half period  
tHP  
MIN. (tCL, tCH)  
Data-out high-impedance time from CK / CK  
Address and control input hold time  
tHZ  
tAC.MAX  
ps  
ps  
tCK  
tIH(base)  
tIPW  
375  
0.6  
Address and control input pulse width  
(each input)  
11)  
14)  
14)  
Address and control input setup time  
DQ low-impedance time from CK / CK  
DQS low-impedance from CK / CK  
Mode register set command cycle time  
OCD drive mode output delay  
tIS(base)  
tLZ(DQ)  
tLZ(DQS)  
tMRD  
250  
ps  
ps  
ps  
tCK  
ns  
ps  
µs  
2 × tAC.MIN  
tAC.MAX  
tAC.MAX  
tAC.MIN  
2
0
tOIT  
12  
Data output hold time from DQS  
Data hold skew factor  
tQH  
t
HP tQHS  
tQHS  
400  
7.8  
14)15)  
Average periodic refresh Interval  
tREFI  
Rev. 1.3, 2006-12  
28  
03292006-6GMD-RSFT  
Internet Data Sheet  
HYS[64/72]T[32/64/128]xx0HU-[25F/2.5/3/3S/3.7/5]-B  
Unbuffered DDR2 SDRAM Module  
Parameter  
Symbol  
DDR2–533  
Min.  
Unit  
Note  
1)2)3)4)5)6)7)  
Max.  
16)18)  
17)  
Average periodic refresh Interval  
tREFI  
tRFC  
3.9  
µs  
Auto-Refresh to Active/Auto-Refresh  
command period  
105  
ns  
Precharge-All (4 banks) command period  
Precharge-All (8 banks) command period  
Read preamble  
tRP  
t
RP + 1tCK  
ns  
ns  
tCK  
tCK  
ns  
tRP  
15 + 1tCK  
0.9  
14)  
tRPRE  
tRPST  
tRRD  
1.1  
0.60  
14)  
Read postamble  
0.40  
14)18)  
Active bank A to Active bank B command  
period  
7.5  
16)22)  
Active bank A to Active bank B command  
period  
tRRD  
10  
ns  
Internal Read to Precharge command delay  
Write preamble  
tRTP  
7.5  
ns  
tCK  
tCK  
ns  
tWPRE  
tWPST  
tWR  
0.25  
0.40  
15  
19)  
Write postamble  
0.60  
Write recovery time for write without Auto-  
Precharge  
20)  
21)  
Internal Write to Read command delay  
tWTR  
7.5  
2
ns  
Exit power down to any valid command  
(other than NOP or Deselect)  
tXARD  
tCK  
21)  
Exit active power-down mode to Read  
command (slow exit, lower power)  
tXARDS  
tXP  
6 – AL  
2
tCK  
tCK  
Exit precharge power-down to any valid  
command (other than NOP or Deselect)  
Exit Self-Refresh to non-Read command  
Exit Self-Refresh to Read command  
tXSNR  
tXSRD  
WR  
t
RFC +10  
200  
WR/tCK  
ns  
tCK  
tCK  
22)  
Write recovery time for write with Auto-  
Precharge  
t
1) For details and notes see the relevant Qimonda component data sheet  
2)  
DDQ = 1.8 V ± 0.1 V; VDD = 1.8 V ±0.1 V. See notes 5)6)7)8)  
V
3) Timing that is not specified is illegal and after such an event, in order to guarantee proper operation, the DRAM must be powered down  
and then restarted through the specified initialization sequence before normal operation can continue.  
4) Timings are guaranteed with CK/CK differential Slew Rate of 2.0 V/ns. For DQS signals timings are guaranteed with a differential Slew  
Rate of 2.0 V/ns in differential strobe mode and a Slew Rate of 1 V/ns in single ended mode.  
5) The CK / CK input reference level (for timing reference to CK / CK) is the point at which CK and CK cross. The DQS / DQS, RDQS/ RDQS,  
input reference level is the crosspoint when in differential strobe mode.  
6) Inputs are not recognized as valid until VREF stabilizes. During the period before VREF stabilizes, CKE = 0.2 x VDDQ is recognized as low.  
7) The output timing reference voltage level is VTT  
.
8) For each of the terms, if not already an integer, round to the next highest integer. tCK refers to the application clock period. WR refers to  
the WR parameter stored in the MR.  
9) The clock frequency is allowed to change during self-refresh mode or precharge power-down mode.  
10) For timing definition, refer to the Component data sheet.  
11) Consists of data pin skew and output pattern effects, and p-channel to n-channel variation of the output drivers as well as output Slew Rate  
mis-match between DQS / DQS and associated DQ in any given cycle.  
12) MIN (tCL, tCH) refers to the smaller of the actual clock low time and the actual clock high time as provided to the device (i.e. this value can  
be greater than the minimum specification limits for tCL and tCH).  
Rev. 1.3, 2006-12  
29  
03292006-6GMD-RSFT  
Internet Data Sheet  
HYS[64/72]T[32/64/128]xx0HU-[25F/2.5/3/3S/3.7/5]-B  
Unbuffered DDR2 SDRAM Module  
13) The tHZ, tRPST and tLZ, tRPRE parameters are referenced to a specific voltage level, which specify when the device output is no longer driving  
(tHZ, tRPST), or begins driving (tLZ, tRPRE). tHZ and tLZ transitions occur in the same access time windows as valid data transitions.These  
parameters are verified by design and characterization, but not subject to production test.  
14) The Auto-Refresh command interval has be reduced to 3.9 µs when operating the DDR2 DRAM in a temperature range between 85 °C  
and 95 °C.  
15) 0 °CTCASE 85 °C  
16) 85 °C < TCASE 95 °C  
17) A maximum of eight Auto-Refresh commands can be posted to any given DDR2 SDRAM device.  
18) The tRRD timing parameter depends on the page size of the DRAM organization. See Table 2 “Ordering Information for RoHS  
Compliant Products” on Page 4.  
19) The maximum limit for the tWPST parameter is not a device limit. The device operates with a greater value for this parameter, but system  
performance (bus turnaround) degrades accordingly.  
20) Minimum tWTR is two clocks when operating the DDR2-SDRAM at frequencies ≤ 200 ΜΗz.  
21) User can choose two different active power-down modes for additional power saving via MRS address bit A12. In “standard active power-  
down mode” (MR, A12 = “0”) a fast power-down exit timing tXARD can be used. In “low active power-down mode” (MR, A12 =”1”) a slow  
power-down exit timing tXARDS has to be satisfied.  
22) WR must be programmed to fulfill the minimum requirement for the tWR timing parameter, where WRMIN[cycles] = tWR(ns)/tCK(ns) rounded  
up to the next integer value. tDAL = WR + (tRP/tCK). For each of the terms, if not already an integer, round to the next highest integer. tCK  
refers to the application clock period. WR refers to the WR parameter stored in the MRS.  
Rev. 1.3, 2006-12  
30  
03292006-6GMD-RSFT  
Internet Data Sheet  
HYS[64/72]T[32/64/128]xx0HU-[25F/2.5/3/3S/3.7/5]-B  
Unbuffered DDR2 SDRAM Module  
TABLE 19  
DRAM Component Timing Parameter by Speed Grade - DDR2-400  
Parameter  
Symbol  
DDR2–400  
Unit  
Note  
1)2)3)4)5)6)7)  
Min.  
Max.  
DQ output access time from CK / CK  
CAS A to CAS B command period  
CK, CK high-level width  
tAC  
–600  
2
+600  
ps  
tCCD  
tCH  
tCKE  
tCL  
tCK  
tCK  
tCK  
tCK  
tCK  
0.45  
3
0.55  
CKE minimum high and low pulse width  
CK, CK low-level width  
0.45  
WR + tRP  
0.55  
8)21)  
9)  
Auto-Precharge write recovery + precharge  
time  
tDAL  
Minimum time clocks remain ON after CKE  
asynchronously drops LOW  
tDELAY  
tIS + tCK + tIH  
275  
ns  
ps  
ps  
10)  
11)  
DQ and DM input hold time (differential data  
strobe)  
t
t
DH(base)  
DH1(base)  
DQ and DM input hold time (single ended data  
strobe)  
–25  
DQ and DM input pulse width (each input)  
DQS output access time from CK / CK  
tDIPW  
0.35  
–500  
0.35  
tCK  
ps  
tCK  
ps  
tDQSCK  
+500  
DQS input low (high) pulse width (write cycle) tDQSL,H  
11)  
DQS-DQ skew (for DQS & associated DQ  
signals)  
tDQSQ  
350  
Write command to 1st DQS latching transition tDQSS  
– 0.25  
150  
+ 0.25  
tCK  
11)  
11)  
DQ and DM input setup time (differential data  
strobe)  
t
DS(base)  
ps  
DQ and DM input setup time (single ended  
data strobe)  
t
DS1(base)  
–25  
0.2  
ps  
DQS falling edge hold time from CK (write  
cycle)  
tDSH  
tCK  
DQS falling edge to CK setup time (write cycle) tDSS  
0.2  
tCK  
12)  
13)  
11)  
Clock half period  
tHP  
MIN. (tCL, tCH)  
Data-out high-impedance time from CK / CK  
Address and control input hold time  
tHZ  
tAC.MAX  
ps  
ps  
tCK  
tIH(base)  
tIPW  
475  
0.6  
Address and control input pulse width  
(each input)  
11)  
14)  
14)  
Address and control input setup time  
DQ low-impedance time from CK / CK  
DQS low-impedance from CK / CK  
Mode register set command cycle time  
OCD drive mode output delay  
tIS(base)  
tLZ(DQ)  
tLZ(DQS)  
tMRD  
350  
ps  
ps  
ps  
tCK  
ns  
ps  
µs  
2 × tAC.MIN  
tAC.MAX  
tAC.MAX  
tAC.MIN  
2
0
tOIT  
12  
Data output hold time from DQS  
Data hold skew factor  
tQH  
t
HP tQHS  
tQHS  
450  
7.8  
14)15)  
Average periodic refresh Interval  
tREFI  
Rev. 1.3, 2006-12  
31  
03292006-6GMD-RSFT  
Internet Data Sheet  
HYS[64/72]T[32/64/128]xx0HU-[25F/2.5/3/3S/3.7/5]-B  
Unbuffered DDR2 SDRAM Module  
Parameter  
Symbol  
DDR2–400  
Min.  
Unit  
Note  
1)2)3)4)5)6)7)  
Max.  
16)18)  
17)  
Average periodic refresh Interval  
tREFI  
3.9  
µs  
Auto-Refresh to Active/Auto-Refresh  
command period  
105  
ns  
Precharge-All (4 banks) command period  
Precharge-All (8 banks) command period  
Read preamble  
tRP  
t
RP + 1tCK  
ns  
ns  
tCK  
tCK  
ns  
tRP  
15 + 1tCK  
0.9  
14)  
tRPRE  
tRPST  
tRRD  
1.1  
0.60  
14)  
Read postamble  
0.40  
14)18)  
Active bank A to Active bank B command  
period  
7.5  
16)22)  
Active bank A to Active bank B command  
period  
tRRD  
10  
ns  
Internal Read to Precharge command delay  
Write preamble  
tRTP  
7.5  
ns  
tCK  
tCK  
ns  
tWPRE  
tWPST  
tWR  
0.25  
0.40  
15  
19)  
Write postamble  
0.60  
Write recovery time for write without Auto-  
Precharge  
20)  
21)  
Internal Write to Read command delay  
tWTR  
10  
2
ns  
Exit power down to any valid command  
(other than NOP or Deselect)  
tXARD  
tCK  
21)  
Exit active power-down mode to Read  
command (slow exit, lower power)  
tXARDS  
tXP  
6 – AL  
2
tCK  
tCK  
Exit precharge power-down to any valid  
command (other than NOP or Deselect)  
Exit Self-Refresh to non-Read command  
Exit Self-Refresh to Read command  
tXSNR  
tXSRD  
WR  
t
RFC +10  
200  
WR/tCK  
ns  
tCK  
tCK  
22)  
Write recovery time for write with Auto-  
Precharge  
t
1) For details and notes see the relevant Qimonda component data sheet  
2)  
DDQ = 1.8 V ± 0.1 V; VDD = 1.8 V ±0.1 V. See notes 5)6)7)8)  
V
3) Timing that is not specified is illegal and after such an event, in order to guarantee proper operation, the DRAM must be powered down  
and then restarted through the specified initialization sequence before normal operation can continue.  
4) Timings are guaranteed with CK/CK differential Slew Rate of 2.0 V/ns. For DQS signals timings are guaranteed with a differential Slew  
Rate of 2.0 V/ns in differential strobe mode and a Slew Rate of 1 V/ns in single ended mode.  
5) The CK / CK input reference level (for timing reference to CK / CK) is the point at which CK and CK cross. The DQS / DQS, RDQS/ RDQS,  
input reference level is the crosspoint when in differential strobe mode.  
6) Inputs are not recognized as valid until VREF stabilizes. During the period before VREF stabilizes, CKE = 0.2 x VDDQ is recognized as low.  
7) The output timing reference voltage level is VTT  
.
8) For each of the terms, if not already an integer, round to the next highest integer. tCK refers to the application clock period. WR refers to  
the WR parameter stored in the MR.  
9) The clock frequency is allowed to change during self-refresh mode or precharge power-down mode.  
10) For timing definition, refer to the Component data sheet.  
11) Consists of data pin skew and output pattern effects, and p-channel to n-channel variation of the output drivers as well as output Slew Rate  
mis-match between DQS / DQS and associated DQ in any given cycle.  
12) MIN (tCL, tCH) refers to the smaller of the actual clock low time and the actual clock high time as provided to the device (i.e. this value can  
be greater than the minimum specification limits for tCL and tCH).  
Rev. 1.3, 2006-12  
32  
03292006-6GMD-RSFT  
Internet Data Sheet  
HYS[64/72]T[32/64/128]xx0HU-[25F/2.5/3/3S/3.7/5]-B  
Unbuffered DDR2 SDRAM Module  
13) The tHZ, tRPST and tLZ, tRPRE parameters are referenced to a specific voltage level, which specify when the device output is no longer driving  
(tHZ, tRPST), or begins driving (tLZ, tRPRE). tHZ and tLZ transitions occur in the same access time windows as valid data transitions.These  
parameters are verified by design and characterization, but not subject to production test.  
14) The Auto-Refresh command interval has be reduced to 3.9 µs when operating the DDR2 DRAM in a temperature range between 85 °C  
and 95 °C.  
15) 0 °CTCASE 85 °C  
16) 85 °C < TCASE 95 °C  
17) A maximum of eight Auto-Refresh commands can be posted to any given DDR2 SDRAM device.  
18) The tRRD timing parameter depends on the page size of the DRAM organization. See Table 2 “Ordering Information for RoHS  
Compliant Products” on Page 4.  
19) The maximum limit for the tWPST parameter is not a device limit. The device operates with a greater value for this parameter, but system  
performance (bus turnaround) degrades accordingly.  
20) Minimum tWTR is two clocks when operating the DDR2-SDRAM at frequencies ≤ 200 ΜΗz.  
21) User can choose two different active power-down modes for additional power saving via MRS address bit A12. In “standard active power-  
down mode” (MR, A12 = “0”) a fast power-down exit timing tXARD can be used. In “low active power-down mode” (MR, A12 =”1”) a slow  
power-down exit timing tXARDS has to be satisfied.  
22) WR must be programmed to fulfill the minimum requirement for the tWR timing parameter, where WRMIN[cycles] = tWR(ns)/tCK(ns) rounded  
up to the next integer value. tDAL = WR + (tRP/tCK). For each of the terms, if not already an integer, round to the next highest integer. tCK  
refers to the application clock period. WR refers to the WR parameter stored in the MRS.  
3.3.3  
ODT AC Electrical Characteristics  
ODT AC Characteristics for: DDR2–800 & DDR2–667(Table 20) and DDR2–533C & DDR2–400B(Table 21)  
TABLE 20  
ODT AC Character. and Operating Conditions for DDR2-800 & DDR2-667  
Symbol  
Parameter / Condition  
Values  
Unit  
Note  
Min.  
Max.  
1)  
tAOND  
tAON  
ODT turn-on delay  
2
2
nCK  
ns  
1)2)  
1)  
ODT turn-on  
tAC.MIN  
tAC.MAX + 0.7 ns  
tAONPD  
tAOFD  
tAOF  
ODT turn-on (Power-Down Modes)  
ODT turn-off delay  
t
AC.MIN + 2 ns  
2 tCK +  
t
AC.MAX + 1 ns  
ns  
1)  
2.5  
2.5  
nCK  
ns  
1)3)  
1)  
ODT turn-off  
tAC.MIN  
tAC.MAX + 0.6 ns  
tAOFPD  
tANPD  
tAXPD  
ODT turn-off (Power-Down Modes)  
ODT to Power Down Mode Entry Latency  
ODT Power Down Exit Latency  
t
AC.MIN + 2 ns  
2.5 tCK +  
t
AC.MAX + 1 ns  
ns  
1)  
3
8
nCK  
nCK  
1)  
1) New units, 'tCK.AVG' and 'nCK', are introduced in DDR2-667 and DDR2-800. Unit 'tCK.AVG' represents the actual tCK.AVG of the input clock  
under operation. Unit 'nCK' represents one clock cycle of the input clock, counting the actual clock edges. Note that in DDR2-400 and  
DDR2-533, 'tCK' is used for both concepts. Example: tXP = 2 [nCK] means; if Power Down exit is registered at Tm, an Active command may  
be registered at Tm + 2, even if (Tm + 2 - Tm) is 2 × tCK.AVG+ tEPR.2PER(MIN)  
.
2) ODT turn on time min is when the device leaves high impedance and ODT resistance begins to turn on. ODT turn on time max is when  
the ODT resistance is fully on. Both are measured from tAOND, which is interpreted differently per speed bin. For DDR2-667/800, tAOND is  
2 clock cycles after the clock edge that registered a first ODT HIGH counting the actual input clock edges.  
3) ODT turn off time min. is when the device starts to turn off ODT resistance. ODT turn off time max is when the bus is in high impedance.  
Both are measured from tAOFD. Both are measured from tAOFD, which is interpreted differently per speed bin. For DDR2-667/800,if tCK.AVG  
=
3 ns is assumed, tAOFD= 1.5 ns (0.5 × 3 ns) after the second trailing clock edge counting from the clock edge that registered a first ODT  
LOW and by counting the actual input clock edge.  
Rev. 1.3, 2006-12  
33  
03292006-6GMD-RSFT  
Internet Data Sheet  
HYS[64/72]T[32/64/128]xx0HU-[25F/2.5/3/3S/3.7/5]-B  
Unbuffered DDR2 SDRAM Module  
TABLE 21  
ODT AC Character. and Operating Conditions for DDR2-533 & DDR2-400  
Symbol  
Parameter / Condition  
Values  
Unit  
Note  
Min.  
Max.  
tAOND  
tAON  
ODT turn-on delay  
2
2
tCK  
ns  
ns  
tCK  
ns  
ns  
tCK  
tCK  
1)  
2)  
ODT turn-on  
tAC.MIN  
tAC.MAX + 1 ns  
tAONPD  
tAOFD  
tAOF  
ODT turn-on (Power-Down Modes)  
ODT turn-off delay  
t
AC.MIN + 2 ns  
2 tCK + tAC.MAX + 1 ns  
2.5  
2.5  
ODT turn-off  
tAC.MIN  
tAC.MAX + 0.6 ns  
tAOFPD  
tANPD  
tAXPD  
ODT turn-off (Power-Down Modes)  
ODT to Power Down Mode Entry Latency  
ODT Power Down Exit Latency  
t
AC.MIN + 2 ns  
2.5 tCK + tAC.MAX + 1 ns  
3
8
1) ODT turn on time min is when the device leaves high impedance and ODT resistance begins to turn on. ODT turn on time max is when  
the ODT resistance is fully on. Both are measured from tAOND, which is interpreted differently per speed bin. For DDR2-400/533, tAOND is  
10 ns (= 2 x 5 ns) after the clock edge that registered a first ODT HIGH if tCK = 5 ns.  
2) ODT turn off time min. is when the device starts to turn off ODT resistance. ODT turn off time max is when the bus is in high impedance.  
Both are measured from tAOFD. Both are measured from tAOFD, which is interpreted differently per speed bin. For DDR2-400/533, tAOFD is  
12.5 ns (= 2.5 x 5 ns) after the clock edge that registered a first ODT HIGH if tCK = 5 ns.  
Rev. 1.3, 2006-12  
34  
03292006-6GMD-RSFT  
Internet Data Sheet  
HYS[64/72]T[32/64/128]xx0HU-[25F/2.5/3/3S/3.7/5]-B  
Unbuffered DDR2 SDRAM Module  
3.4  
IDD Specifications and Conditions  
List of tables defining IDD Specifications and Conditions.  
Table 22 “IDD Measurement Conditions” on Page 35  
Table 23 “Definitions for IDD” on Page 36  
Table 25 “IDD Specification for HYS[64/72]T[32/64/128]0x0HU-2.5-B” on Page 38  
Table 26 “IDD Specification for HYS[64/72]T[32/64/128]0x0HU-3-B” on Page 39  
Table 27 “IDD Specification for HYS[64/72]T[32/64/128]xx0HU-3S-B” on Page 40  
Table 27 “IDD Specification for HYS[64/72]T[32/64/128]xx0HU-3S-B” on Page 40  
Table 28 “IDD Specification for HYS[64/72]T[32/64/128]xx0HU-3.7-B” on Page 41  
Table 29 “I DD Specification for HYS[64/72]T[32/647128]0x0HU-5-B” on Page 42  
TABLE 22  
IDD Measurement Conditions  
Parameter  
Symbol Note  
1)2)3)4)5)  
Operating Current 0  
IDD0  
One bank Active - Precharge; tCK = tCK.MIN, tRC = tRC.MIN, tRAS = tRAS.MIN, CKE is HIGH, CS is HIGH between  
valid commands. Address and control inputs are SWITCHING, Databus inputs are SWITCHING.  
6)  
Operating Current 1  
IDD1  
One bank Active - Read - Precharge; IOUT = 0 mA, BL = 4, tCK = tCK.MIN, tRC = tRC.MIN, tRAS = tRAS.MIN  
,
t
RCD = tRCD.MIN, AL = 0, CL = CLMIN; CKE is HIGH, CS is HIGH between valid commands. Address and  
control inputs are SWITCHING, Databus inputs are SWITCHING.  
Precharge Standby Current  
IDD2N  
All banks idle; CS is HIGH; CKE is HIGH; tCK = tCK.MIN; Other control and address inputs are SWITCHING,  
Databus inputs are SWITCHING.  
Precharge Power-Down Current  
Other control and address inputs are STABLE, Data bus inputs are FLOATING.  
IDD2P  
IDD2Q  
Precharge Quiet Standby Current  
All banks idle; CS is HIGH; CKE is HIGH; tCK = tCK.MIN; Other control and address inputs are STABLE,  
Data bus inputs are FLOATING.  
Active Standby Current  
IDD3N  
Burst Read: All banks open; Continuous burst reads; BL = 4; AL = 0, CL = CLMIN; tCK = tCK.MIN  
;
t
RAS = tRAS.MAX, tRP = tRP.MIN; CKE is HIGH, CS is HIGH between valid commands. Address inputs are  
SWITCHING; Data Bus inputs are SWITCHING; IOUT = 0 mA.  
Active Power-Down Current  
All banks open; tCK = tCK.MIN, CKE is LOW; Other control and address inputs are STABLE, Data bus inputs  
are FLOATING. MRS A12 bit is set to LOW (Fast Power-down Exit);  
IDD3P(0)  
IDD3P(1)  
IDD4R  
Active Power-Down Current  
All banks open; tCK = tCK.MIN, CKE is LOW; Other control and address inputs are STABLE, Data bus inputs  
are FLOATING. MRS A12 bit is set to HIGH (Slow Power-down Exit);  
6)  
Operating Current - Burst Read  
All banks open; Continuous burst reads; BL = 4; AL = 0, CL = CLMIN; tCK = tCKMIN; tRAS = tRASMAX  
;
t
RP = tRPMIN; CKE is HIGH, CS is HIGH between valid commands; Address inputs are SWITCHING; Data  
bus inputs are SWITCHING; IOUT = 0mA.  
Operating Current - Burst Write  
IDD4W  
All banks open; Continuous burst writes; BL = 4; AL = 0, CL = CLMIN; tCK = tCK.MIN  
;
t
RAS = tRAS.MAX., tRP = tRP.MAX; CKE is HIGH, CS is HIGH between valid commands. Address inputs are  
SWITCHING; Data Bus inputs are SWITCHING;  
Rev. 1.3, 2006-12  
35  
03292006-6GMD-RSFT  
Internet Data Sheet  
HYS[64/72]T[32/64/128]xx0HU-[25F/2.5/3/3S/3.7/5]-B  
Unbuffered DDR2 SDRAM Module  
Parameter  
Symbol Note  
1)2)3)4)5)  
Burst Refresh Current  
IDD5B  
t
CK = tCK.MIN., Refresh command every tRFC = tRFC.MIN interval, CKE is HIGH, CS is HIGH between valid  
commands, Other control and address inputs are SWITCHING, Data bus inputs are SWITCHING.  
Distributed Refresh Current  
IDD5D  
IDD6  
IDD7  
t
CK = tCK.MIN., Refresh command every tRFC = tREFI interval, CKE is LOW and CS is HIGH between valid  
commands, Other control and address inputs are SWITCHING, Data bus inputs are SWITCHING.  
Self-Refresh Current  
CKE 0.2 V; external clock off, CK and CK at 0 V; Other control and address inputs are FLOATING, Data  
bus inputs are FLOATING. IDD6 current values are guaranteed up to TCASE of 85 °C max.  
6)  
All Bank Interleave Read Current  
All banks are being interleaved at minimum tRC without violating tRRD using a burst length of 4. Control  
and address bus inputs are STABLE during DESELECTS. Iout = 0 mA.  
1)  
2)  
V
DDQ = 1.8 V ± 0.1 V; VDD = 1.8 V ± 0.1 V  
IDD specifications are tested after the device is properly initialized and IDD parameter are specified with ODT disabled.  
3) Definitions for IDD see Table 23  
4) For two rank modules: for all active current measurements the other rank is in Precharge Power-Down Mode IDD2P  
5) For details and notes see the relevant Qimonda component data sheet  
6)  
IDD1, IDD4R and IDD7 current measurements are defined with the outputs disabled (IOUT = 0 mA). To achieve this on module level the output  
buffers can be disabled using an EMRS(1) (Extended Mode Register Command) by setting A12 bit to HIGH.  
TABLE 23  
Definitions for IDD  
Parameter  
LOW  
Description  
VIN VIL(ac).MAX, HIGH is defined as VIN VIH(ac).MIN  
Inputs are stable at a HIGH or LOW level  
Inputs are VREF = VDDQ /2  
STABLE  
FLOATING  
SWITCHING  
Inputs are changing between HIGH and LOW every other clock (once per 2 cycles) for address and control  
signals, and inputs changing between HIGH and LOW every other data transfer (once per cycle) for DQ  
signals not including mask or strobes  
Rev. 1.3, 2006-12  
36  
03292006-6GMD-RSFT  
Internet Data Sheet  
HYS[64/72]T[32/64/128]xx0HU-[25F/2.5/3/3S/3.7/5]-B  
Unbuffered DDR2 SDRAM Module  
TABLE 24  
IDD Specification for HYS[64/72]T[32/64/128]0x0HU-25F-B  
Product Type  
Unit Note1)  
Organization  
256MB  
1 Rank  
×64  
512MB  
1 Rank  
×64  
512MB  
1 Rank  
×72  
1GB  
1GB  
2 Ranks  
×64  
2 Ranks  
×72  
-25F  
-25F  
-25F  
-25F  
-25F  
Symbol  
Max.  
Max.  
Max.  
Max.  
Max.  
2)  
IDD0  
420  
480  
30  
670  
800  
60  
760  
900  
60  
730  
860  
110  
820  
720  
620  
140  
960  
1300  
1300  
1220  
140  
112  
1420  
820  
mA  
mA  
mA  
mA  
mA  
mA  
mA  
mA  
mA  
mA  
mA  
mA  
mA  
mA  
2)  
IDD1  
960  
3)  
IDD2P  
130  
3)  
IDD2N  
200  
180  
160  
40  
410  
360  
310  
70  
460  
410  
350  
80  
920  
3)  
IDD2Q  
810  
3)  
IDD3P( MRS = 0)  
IDD3P( MRS = 1)  
IDD3N  
700  
3)4)  
3)5)  
2)  
160  
240  
720  
800  
580  
40  
480  
1240  
1240  
1160  
70  
540  
1400  
1400  
1310  
80  
1080  
1460  
1460  
1370  
160  
IDD4R  
2)  
IDD4W  
IDD5B  
2)  
3)6)  
3)6)  
2)  
IDD5D  
IDD6  
28  
56  
63  
126  
IDD7  
1060  
1360  
1530  
1590  
1) Calculated values from component data. ODT disabled. IDD1,  
I
DD4R, and IDD7, are defined with the outputs disabled.  
2) The other rank is in IDD2P Precharge Power-Down Current mode  
3) Both ranks are in the same IDDcurrent mode  
4) Fast: MRS(12)=0  
5) Slow: MRS(12)=1  
6)  
IDD5D and IDD6 values are for 0°C TCase 85°C  
Rev. 1.3, 2006-12  
37  
03292006-6GMD-RSFT  
Internet Data Sheet  
HYS[64/72]T[32/64/128]xx0HU-[25F/2.5/3/3S/3.7/5]-B  
Unbuffered DDR2 SDRAM Module  
TABLE 25  
IDD Specification for HYS[64/72]T[32/64/128]0x0HU-2.5-B  
Product Type  
Unit  
Note1)  
Organization  
256MB  
1 Rank  
×64  
512MB  
1 Rank  
×64  
512MB  
1 Rank  
×72  
1GB  
1GB  
2 Ranks  
×64  
2 Ranks  
×72  
-2.5  
-2.5  
-2.5  
-2.5  
-2.5  
Symbol  
Max.  
Max.  
Max.  
Max.  
Max.  
2)  
IDD0  
400  
460  
30  
640  
760  
60  
720  
860  
60  
700  
820  
110  
820  
720  
620  
140  
960  
1300  
1300  
1220  
140  
112  
1340  
780  
mA  
mA  
mA  
mA  
mA  
mA  
mA  
mA  
mA  
mA  
mA  
mA  
mA  
mA  
2)  
IDD1  
920  
3)  
IDD2P  
130  
3)  
IDD2N  
200  
180  
160  
40  
410  
360  
310  
70  
460  
410  
350  
80  
920  
3)  
IDD2Q  
810  
3)  
IDD3P( MRS = 0)  
IDD3P( MRS = 1)  
IDD3N  
700  
3)4)  
3)5)  
2)  
160  
240  
720  
800  
580  
40  
480  
1240  
1240  
1160  
70  
540  
1400  
1400  
1310  
80  
1080  
1460  
1460  
1370  
160  
IDD4R  
2)  
IDD4W  
IDD5B  
2)  
3)6)  
3)6)  
2)  
IDD5D  
IDD6  
28  
56  
63  
126  
IDD7  
1020  
1280  
1440  
1500  
1) Calculated values from component data. ODT disabled. IDD1,  
I
DD4R, and IDD7, are defined with the outputs disabled.  
2) The other rank is in IDD2P Precharge Power-Down Current mode  
3) Both ranks are in the same IDDcurrent mode  
4) Fast: MRS(12)=0  
5) Slow: MRS(12)=1  
6)  
IDD5D and IDD6 values are for 0°C TCase 85°C  
Rev. 1.3, 2006-12  
38  
03292006-6GMD-RSFT  
Internet Data Sheet  
HYS[64/72]T[32/64/128]xx0HU-[25F/2.5/3/3S/3.7/5]-B  
Unbuffered DDR2 SDRAM Module  
TABLE 26  
IDD Specification for HYS[64/72]T[32/64/128]0x0HU-3-B  
Product Type  
Unit Note1)  
Organization  
256MB  
1 Rank  
×64  
512MB  
1 Rank  
×64  
512MB  
1 Rank  
×72  
1GB  
2 Ranks  
×64  
1GB  
2 Ranks  
×72  
-3  
-3  
-3  
-3  
-3  
Symbol  
Max.  
Max.  
Max.  
Max.  
Max.  
2)  
IDD0  
380  
420  
30  
600  
720  
60  
680  
810  
60  
660  
780  
110  
720  
640  
530  
140  
800  
1100  
1100  
1180  
140  
112  
1340  
740  
870  
130  
810  
720  
590  
160  
900  
1230  
1230  
1320  
160  
126  
1500  
mA  
mA  
mA  
mA  
mA  
mA  
mA  
mA  
mA  
mA  
mA  
mA  
mA  
mA  
2)  
IDD1  
3)  
IDD2P  
3)  
IDD2N  
180  
160  
130  
40  
360  
320  
260  
70  
410  
360  
300  
80  
3)  
IDD2Q  
3)  
IDD3P( MRS = 0)  
IDD3P( MRS = 1)  
IDD3N  
3)4)  
3)5)  
2)  
200  
620  
680  
560  
40  
400  
1040  
1040  
1120  
70  
450  
1170  
1170  
1260  
80  
IDD4R  
2)  
IDD4W  
IDD5B  
2)  
3)6)  
3)6)  
2)  
IDD5D  
IDD6  
28  
56  
63  
IDD7  
1010  
1280  
1440  
1) Calculated values from component data. ODT disabled. IDD1,  
I
DD4R, and IDD7, are defined with the outputs disabled.  
2) The other rank is in IDD2P Precharge Power-Down Current mode  
3) Both ranks are in the same IDDcurrent mode  
4) Fast: MRS(12)=0  
5) Slow: MRS(12)=1  
6)  
IDD5D and IDD6 values are for 0°C TCase 85°C  
Rev. 1.3, 2006-12  
39  
03292006-6GMD-RSFT  
Internet Data Sheet  
HYS[64/72]T[32/64/128]xx0HU-[25F/2.5/3/3S/3.7/5]-B  
Unbuffered DDR2 SDRAM Module  
TABLE 27  
IDD Specification for HYS[64/72]T[32/64/128]xx0HU-3S-B  
Product Type  
Unit Note1)  
Organization  
256MB  
1 Rank  
×64  
512MB  
1 Rank  
×64  
512MB  
1 Rank  
×72  
1GB  
1GB  
2 Ranks  
×72  
2 Ranks  
×64  
-3S  
-3S  
-3S  
-3S  
-3S  
Symbol  
Max.  
Max.  
Max.  
Max.  
Max.  
2)  
IDD0  
360  
400  
30  
570  
680  
60  
640  
770  
60  
620  
740  
110  
720  
640  
530  
140  
800  
1100  
1100  
1180  
140  
112  
1270  
700  
830  
130  
810  
720  
590  
160  
900  
1230  
1230  
1320  
160  
126  
1430  
mA  
mA  
mA  
mA  
mA  
mA  
mA  
mA  
mA  
mA  
mA  
mA  
mA  
mA  
2)  
IDD1  
3)  
IDD2P  
3)  
IDD2N  
180  
160  
130  
40  
360  
320  
260  
70  
410  
360  
300  
80  
3)  
IDD2Q  
3)  
IDD3P( MRS = 0)  
IDD3P( MRS = 1)  
IDD3N  
3)4)  
3)5)  
2)  
200  
620  
680  
560  
40  
400  
1040  
1040  
1120  
70  
450  
1170  
1170  
1260  
80  
IDD4R  
2)  
IDD4W  
IDD5B  
2)  
3)6)  
3)6)  
2)  
IDD5D  
IDD6  
28  
56  
63  
IDD7  
960  
1220  
1370  
1) Calculated values from component data. ODT disabled. IDD1,  
I
DD4R, and IDD7, are defined with the outputs disabled.  
2) The other rank is in IDD2P Precharge Power-Down Current mode  
3) Both ranks are in the same IDDcurrent mode  
4) Fast: MRS(12)=0  
5) Slow: MRS(12)=1  
6)  
IDD5D and IDD6 values are for 0°C TCase 85°C  
Rev. 1.3, 2006-12  
40  
03292006-6GMD-RSFT  
Internet Data Sheet  
HYS[64/72]T[32/64/128]xx0HU-[25F/2.5/3/3S/3.7/5]-B  
Unbuffered DDR2 SDRAM Module  
TABLE 28  
IDD Specification for HYS[64/72]T[32/64/128]xx0HU-3.7-B  
Product Type  
Unit Note1)  
Organization  
256MB  
1 Rank  
×64  
512MB  
1 Rank  
×64  
512MB  
1 Rank  
×72  
1GB  
1GB  
2 Ranks  
×64  
2 Ranks  
×72  
-3.7  
-3.7  
-3.7  
-3.7  
-3.7  
Symbol  
Max.  
Max.  
Max.  
Max.  
Max.  
2)  
IDD0  
320  
360  
30  
520  
600  
60  
590  
680  
60  
580  
660  
110  
610  
560  
450  
140  
690  
940  
940  
1100  
140  
112  
1220  
650  
740  
130  
680  
630  
500  
160  
770  
1050  
1050  
1230  
160  
126  
1370  
mA  
mA  
mA  
mA  
mA  
mA  
mA  
mA  
mA  
mA  
mA  
mA  
mA  
mA  
2)  
IDD1  
3)  
IDD2P  
3)  
IDD2N  
150  
140  
110  
40  
300  
280  
220  
70  
340  
320  
250  
80  
3)  
IDD2Q  
3)  
IDD3P( MRS = 0)  
IDD3P( MRS = 1)  
IDD3N  
3)4)  
3)5)  
2)  
170  
520  
580  
520  
40  
340  
880  
880  
1040  
70  
390  
990  
990  
1170  
80  
IDD4R  
2)  
IDD4W  
IDD5B  
2)  
3)6)  
3)6)  
2)  
IDD5D  
IDD6  
28  
56  
63  
IDD7  
920  
1160  
1310  
1) Calculated values from component data. ODT disabled. IDD1,  
I
DD4R, and IDD7, are defined with the outputs disabled.  
2) The other rank is in IDD2P Precharge Power-Down Current mode  
3) Both ranks are in the same IDDcurrent mode  
4) Fast: MRS(12)=0  
5) Slow: MRS(12)=1  
6)  
IDD5D and IDD6 values are for 0°C TCase 85°C  
Rev. 1.3, 2006-12  
41  
03292006-6GMD-RSFT  
Internet Data Sheet  
HYS[64/72]T[32/64/128]xx0HU-[25F/2.5/3/3S/3.7/5]-B  
Unbuffered DDR2 SDRAM Module  
TABLE 29  
IDD Specification for HYS[64/72]T[32/647128]0x0HU-5-B  
Product Type  
Unit Note1)  
Organization  
256MB  
1 Rank  
×64  
512MB  
1 Rank  
×64  
512MB  
1 Rank  
×72  
1GB  
2 Ranks  
×64  
1GB  
2 Ranks  
×72  
-5  
-5  
-5  
-5  
-5  
Symbol  
Max.  
Max.  
Max.  
Max.  
Max.  
2)  
IDD0  
300  
330  
30  
490  
560  
60  
550  
630  
60  
540  
620  
110  
540  
510  
380  
140  
620  
820  
820  
1060  
140  
112  
1180  
610  
690  
130  
610  
580  
430  
160  
700  
920  
920  
1190  
160  
126  
1330  
mA  
mA  
mA  
mA  
mA  
mA  
mA  
mA  
mA  
mA  
mA  
mA  
mA  
mA  
2)  
IDD1  
3)  
IDD2P  
3)  
IDD2N  
140  
130  
100  
40  
270  
260  
190  
70  
310  
290  
220  
80  
3)  
IDD2Q  
3)  
IDD3P( MRS = 0)  
IDD3P( MRS = 1)  
IDD3N  
3)4)  
3)5)  
2)  
160  
460  
520  
500  
40  
310  
760  
760  
1000  
70  
350  
860  
860  
1130  
80  
IDD4R  
2)  
IDD4W  
IDD5B  
2)  
3)6)  
3)6)  
2)  
IDD5D  
IDD6  
28  
56  
63  
IDD7  
880  
1130  
1270  
1) Calculated values from component data. ODT disabled. IDD1,  
I
DD4R, and IDD7, are defined with the outputs disabled.  
2) The other rank is in IDD2P Precharge Power-Down Current mode  
3) Both ranks are in the same IDDcurrent mode  
4) Fast: MRS(12)=0  
5) Slow: MRS(12)=1  
6)  
IDD5D and IDD6 values are for 0°C TCase 85°C  
Rev. 1.3, 2006-12  
42  
03292006-6GMD-RSFT  
Internet Data Sheet  
HYS[64/72]T[32/64/128]xx0HU-[25F/2.5/3/3S/3.7/5]-B  
Unbuffered DDR2 SDRAM Module  
4
SPD Codes  
This chapter lists all hexadecimal byte values stored in the EEPROM of the products described in this data sheet. SPD stands  
for serial presence detect. All values with XX in the table are module specific bytes which are defined during production.  
List of SPD Code Tables  
Table 30 “SPD Codes for HYS[64/72]T[32/64/128]xxxHU–25F–B” on Page 43  
Table 31 “SPD Codes for HYS[64/72]T[32/64/128]xxxHU–2.5–B” on Page 48  
Table 32 “SPD Codes for HYS[64/72]T[32/64/128]xxxHU–3–B” on Page 53  
Table 33 “SPD Codes for HYS64T[32/64]x00HU–3S–B” on Page 58  
Table 34 “SPD Codes for HYS[64/72]T[64/128]xx0HU–3S–B” on Page 62  
Table 35 “SPD Codes for HYS64T[32/64]x00HU–3.7–B” on Page 66  
Table 36 “SPD Codes for HYS[64/72]T[64/128]xx0HU–3.7–B” on Page 70  
Table 37 “SPD Codes for HYS[64/72]T[32/64/128]xxxHU–5–B” on Page 74  
TABLE 30  
SPD Codes for HYS[64/72]T[32/64/128]xxxHU–25F–B  
Product Type  
Organization  
256MB  
512MB  
512MB  
1 GByte 1 GByte  
×64  
×64  
×72  
×64  
×72  
1 Rank  
1 Rank  
1 Rank  
2 Ranks 2 Ranks  
(×16)  
(×8)  
(×8)  
(×8)  
(×8)  
Label Code  
PC2–  
6400U–  
555  
PC2–  
6400U–  
555  
PC2–  
6400E–  
555  
PC2–  
6400U–  
555  
PC2–  
6400E–  
555  
JEDEC SPD Revision  
Rev. 1.2 Rev. 1.2 Rev. 1.2 Rev. 1.2 Rev. 1.2  
Byte#  
Description  
HEX  
HEX  
HEX  
HEX  
HEX  
0
1
2
3
4
5
6
Programmed SPD Bytes in EEPROM  
Total number of Bytes in EEPROM  
Memory Type (DDR2)  
80  
08  
08  
0D  
0A  
60  
40  
80  
08  
08  
0E  
0A  
60  
40  
80  
08  
08  
0E  
0A  
60  
48  
80  
08  
08  
0E  
0A  
61  
40  
80  
08  
08  
0E  
0A  
61  
48  
Number of Row Addresses  
Number of Column Addresses  
DIMM Rank and Stacking Information  
Data Width  
Rev. 1.3, 2006-12  
43  
03292006-6GMD-RSFT  
Internet Data Sheet  
HYS[64/72]T[32/64/128]xx0HU-[25F/2.5/3/3S/3.7/5]-B  
Unbuffered DDR2 SDRAM Module  
Product Type  
Organization  
256MB  
512MB  
512MB  
1 GByte 1 GByte  
×64  
×64  
×72  
×64  
×72  
1 Rank  
1 Rank  
1 Rank  
2 Ranks 2 Ranks  
(×16)  
(×8)  
(×8)  
(×8)  
(×8)  
Label Code  
PC2–  
6400U–  
555  
PC2–  
6400U–  
555  
PC2–  
6400E–  
555  
PC2–  
6400U–  
555  
PC2–  
6400E–  
555  
JEDEC SPD Revision  
Rev. 1.2 Rev. 1.2 Rev. 1.2 Rev. 1.2 Rev. 1.2  
Byte#  
Description  
HEX  
HEX  
HEX  
HEX  
HEX  
7
Not used  
00  
05  
25  
40  
00  
82  
10  
00  
00  
0C  
04  
70  
01  
02  
00  
07  
25  
40  
3D  
50  
32  
28  
32  
2D  
00  
05  
25  
40  
00  
82  
08  
00  
00  
0C  
04  
70  
01  
02  
00  
07  
25  
40  
3D  
50  
32  
1E  
32  
2D  
00  
05  
25  
40  
02  
82  
08  
08  
00  
0C  
04  
70  
01  
02  
00  
07  
25  
40  
3D  
50  
32  
1E  
32  
2D  
00  
05  
25  
40  
00  
82  
08  
00  
00  
0C  
04  
70  
01  
02  
00  
07  
25  
40  
3D  
50  
32  
1E  
32  
2D  
00  
05  
25  
40  
02  
82  
08  
08  
00  
0C  
04  
70  
01  
02  
00  
07  
25  
40  
3D  
50  
32  
1E  
32  
2D  
8
Interface Voltage Level  
9
t
t
CK @ CLMAX (Byte 18) [ns]  
10  
11  
12  
13  
14  
15  
16  
17  
18  
19  
20  
21  
22  
23  
24  
25  
26  
27  
28  
29  
30  
AC SDRAM @ CLMAX (Byte 18) [ns]  
Error Correction Support (non-ECC, ECC)  
Refresh Rate and Type  
Primary SDRAM Width  
Error Checking SDRAM Width  
Not used  
Burst Length Supported  
Number of Banks on SDRAM Device  
Supported CAS Latencies  
DIMM Mechanical Characteristics  
DIMM Type Information  
DIMM Attributes  
Component Attributes  
t
t
t
t
t
t
t
t
CK @ CLMAX -1 (Byte 18) [ns]  
AC SDRAM @ CLMAX -1 [ns]  
CK @ CLMAX -2 (Byte 18) [ns]  
AC SDRAM @ CLMAX -2 [ns]  
RP.MIN [ns]  
RRD.MIN [ns]  
RCD.MIN [ns]  
RAS.MIN [ns]  
Rev. 1.3, 2006-12  
44  
03292006-6GMD-RSFT  
Internet Data Sheet  
HYS[64/72]T[32/64/128]xx0HU-[25F/2.5/3/3S/3.7/5]-B  
Unbuffered DDR2 SDRAM Module  
Product Type  
Organization  
256MB  
512MB  
512MB  
1 GByte 1 GByte  
×64  
×64  
×72  
×64  
×72  
1 Rank  
1 Rank  
1 Rank  
2 Ranks 2 Ranks  
(×16)  
(×8)  
(×8)  
(×8)  
(×8)  
Label Code  
PC2–  
6400U–  
555  
PC2–  
6400U–  
555  
PC2–  
6400E–  
555  
PC2–  
6400U–  
555  
PC2–  
6400E–  
555  
JEDEC SPD Revision  
Rev. 1.2 Rev. 1.2 Rev. 1.2 Rev. 1.2 Rev. 1.2  
Byte#  
Description  
HEX  
HEX  
HEX  
HEX  
HEX  
31  
32  
33  
34  
35  
36  
37  
38  
39  
40  
41  
42  
43  
44  
45  
46  
47  
48  
49  
50  
51  
52  
53  
54  
Module Density per Rank  
40  
17  
25  
05  
12  
3C  
1E  
1E  
00  
30  
39  
69  
80  
14  
1E  
00  
56  
7A  
7F  
3B  
36  
2E  
5A  
2A  
80  
17  
25  
05  
12  
3C  
1E  
1E  
00  
30  
39  
69  
80  
14  
1E  
00  
50  
7A  
5F  
3B  
36  
2E  
5A  
2A  
80  
17  
25  
05  
12  
3C  
1E  
1E  
00  
30  
39  
69  
80  
14  
1E  
00  
50  
7A  
5F  
3B  
36  
2E  
5A  
2A  
80  
17  
25  
05  
12  
3C  
1E  
1E  
00  
30  
39  
69  
80  
14  
1E  
00  
50  
7A  
5F  
3B  
36  
2E  
5A  
2A  
80  
17  
25  
05  
12  
3C  
1E  
1E  
00  
30  
39  
69  
80  
14  
1E  
00  
50  
7A  
5F  
3B  
36  
2E  
5A  
2A  
t
t
t
t
t
t
t
AS.MIN and tCS.MIN [ns]  
AH.MIN and tCH.MIN [ns]  
DS.MIN [ns]  
DH.MIN [ns]  
WR.MIN [ns]  
WTR.MIN [ns]  
RTP.MIN [ns]  
Analysis Characteristics  
t
t
t
t
t
t
RC and tRFC Extension  
RC.MIN [ns]  
RFC.MIN [ns]  
CK.MAX [ns]  
DQSQ.MAX [ns]  
QHS.MAX [ns]  
PLL Relock Time  
CASE.MAX Delta / T4R4W Delta  
T
Psi(T-A) DRAM  
T0 (DT0)  
T2N (DT2N, UDIMM) or T2Q (DT2Q, RDIMM)  
T2P (DT2P)  
T3N (DT3N)  
T3P.fast (DT3P fast)  
T3P.slow (DT3P slow)  
Rev. 1.3, 2006-12  
45  
03292006-6GMD-RSFT  
Internet Data Sheet  
HYS[64/72]T[32/64/128]xx0HU-[25F/2.5/3/3S/3.7/5]-B  
Unbuffered DDR2 SDRAM Module  
Product Type  
Organization  
256MB  
512MB  
512MB  
1 GByte 1 GByte  
×64  
×64  
×72  
×64  
×72  
1 Rank  
1 Rank  
1 Rank  
2 Ranks 2 Ranks  
(×16)  
(×8)  
(×8)  
(×8)  
(×8)  
Label Code  
PC2–  
6400U–  
555  
PC2–  
6400U–  
555  
PC2–  
6400E–  
555  
PC2–  
6400U–  
555  
PC2–  
6400E–  
555  
JEDEC SPD Revision  
Rev. 1.2 Rev. 1.2 Rev. 1.2 Rev. 1.2 Rev. 1.2  
Byte#  
Description  
HEX  
HEX  
HEX  
HEX  
HEX  
55  
56  
57  
58  
59  
60  
61  
62  
63  
64  
65  
66  
67  
68  
69  
70  
71  
72  
73  
74  
75  
76  
77  
78  
T4R (DT4R) / T4R4W Sign (DT4R4W)  
T5B (DT5B)  
68  
22  
3D  
00  
00  
00  
00  
12  
52  
7F  
7F  
7F  
7F  
7F  
51  
00  
00  
xx  
5A  
22  
27  
00  
00  
00  
00  
12  
37  
7F  
7F  
7F  
7F  
7F  
51  
00  
00  
xx  
5A  
22  
27  
00  
00  
00  
00  
12  
49  
7F  
7F  
7F  
7F  
7F  
51  
00  
00  
xx  
5A  
22  
27  
00  
00  
00  
00  
12  
38  
7F  
7F  
7F  
7F  
7F  
51  
00  
00  
xx  
5A  
22  
27  
00  
00  
00  
00  
12  
4A  
7F  
7F  
7F  
7F  
7F  
51  
00  
00  
xx  
T7 (DT7)  
Psi(ca) PLL  
Psi(ca) REG  
TPLL (DTPLL)  
TREG (DTREG) / Toggle Rate  
SPD Revision  
Checksum of Bytes 0-62  
Manufacturer’s JEDEC ID Code (1)  
Manufacturer’s JEDEC ID Code (2)  
Manufacturer’s JEDEC ID Code (3)  
Manufacturer’s JEDEC ID Code (4)  
Manufacturer’s JEDEC ID Code (5)  
Manufacturer’s JEDEC ID Code (6)  
Manufacturer’s JEDEC ID Code (7)  
Manufacturer’s JEDEC ID Code (8)  
Module Manufacturer Location  
Product Type, Char 1  
36  
34  
54  
33  
32  
30  
36  
34  
54  
36  
34  
30  
37  
32  
54  
36  
34  
30  
36  
34  
54  
31  
32  
38  
37  
32  
54  
31  
32  
38  
Product Type, Char 2  
Product Type, Char 3  
Product Type, Char 4  
Product Type, Char 5  
Product Type, Char 6  
Rev. 1.3, 2006-12  
46  
03292006-6GMD-RSFT  
Internet Data Sheet  
HYS[64/72]T[32/64/128]xx0HU-[25F/2.5/3/3S/3.7/5]-B  
Unbuffered DDR2 SDRAM Module  
Product Type  
Organization  
256MB  
512MB  
512MB  
1 GByte 1 GByte  
×64  
×64  
×72  
×64  
×72  
1 Rank  
1 Rank  
1 Rank  
2 Ranks 2 Ranks  
(×16)  
(×8)  
(×8)  
(×8)  
(×8)  
Label Code  
PC2–  
6400U–  
555  
PC2–  
6400U–  
555  
PC2–  
6400E–  
555  
PC2–  
6400U–  
555  
PC2–  
6400E–  
555  
JEDEC SPD Revision  
Rev. 1.2 Rev. 1.2 Rev. 1.2 Rev. 1.2 Rev. 1.2  
Byte#  
Description  
HEX  
HEX  
HEX  
HEX  
HEX  
79  
80  
81  
82  
83  
84  
85  
86  
87  
88  
89  
90  
91  
92  
93  
94  
Product Type, Char 7  
30  
30  
48  
55  
32  
35  
46  
42  
20  
20  
20  
20  
3x  
xx  
xx  
xx  
xx  
00  
FF  
30  
30  
48  
55  
32  
35  
46  
42  
20  
20  
20  
20  
3x  
xx  
xx  
xx  
xx  
00  
FF  
30  
30  
48  
55  
32  
35  
46  
42  
20  
20  
20  
20  
3x  
xx  
xx  
xx  
xx  
00  
FF  
30  
32  
30  
48  
55  
32  
35  
46  
42  
20  
20  
20  
3x  
xx  
xx  
xx  
xx  
00  
FF  
30  
32  
30  
48  
55  
32  
35  
46  
42  
20  
20  
20  
3x  
xx  
xx  
xx  
xx  
00  
FF  
Product Type, Char 8  
Product Type, Char 9  
Product Type, Char 10  
Product Type, Char 11  
Product Type, Char 12  
Product Type, Char 13  
Product Type, Char 14  
Product Type, Char 15  
Product Type, Char 16  
Product Type, Char 17  
Product Type, Char 18  
Module Revision Code  
Test Program Revision Code  
Module Manufacturing Date Year  
Module Manufacturing Date Week  
95 - 98 Module Serial Number  
99 - 127 Not used  
128 -  
255  
Blank for customer use  
Rev. 1.3, 2006-12  
47  
03292006-6GMD-RSFT  
Internet Data Sheet  
HYS[64/72]T[32/64/128]xx0HU-[25F/2.5/3/3S/3.7/5]-B  
Unbuffered DDR2 SDRAM Module  
TABLE 31  
SPD Codes for HYS[64/72]T[32/64/128]xxxHU–2.5–B  
Product Type  
Organization  
256MB  
512MB  
512MB  
1 GByte 1 GByte  
×64  
×64  
×72  
×64  
×72  
1 Rank  
1 Rank  
1 Rank  
2 Ranks 2 Ranks  
(×16)  
(×8)  
(×8)  
(×8)  
(×8)  
Label Code  
PC2–  
6400U–  
666  
PC2–  
6400U–  
666  
PC2–  
6400E–  
666  
PC2–  
6400U–  
666  
PC2–  
6400E–  
666  
JEDEC SPD Revision  
Rev. 1.2 Rev. 1.2 Rev. 1.2 Rev. 1.2 Rev. 1.2  
Byte#  
Description  
HEX  
HEX  
HEX  
HEX  
HEX  
0
Programmed SPD Bytes in EEPROM  
Total number of Bytes in EEPROM  
Memory Type (DDR2)  
80  
08  
08  
0D  
0A  
60  
40  
00  
05  
25  
40  
00  
82  
10  
00  
00  
0C  
04  
70  
01  
02  
80  
08  
08  
0E  
0A  
60  
40  
00  
05  
25  
40  
00  
82  
08  
00  
00  
0C  
04  
70  
01  
02  
80  
08  
08  
0E  
0A  
60  
48  
00  
05  
25  
40  
02  
82  
08  
08  
00  
0C  
04  
70  
01  
02  
80  
08  
08  
0E  
0A  
61  
40  
00  
05  
25  
40  
00  
82  
08  
00  
00  
0C  
04  
70  
01  
02  
80  
08  
08  
0E  
0A  
61  
48  
00  
05  
25  
40  
02  
82  
08  
08  
00  
0C  
04  
70  
01  
02  
1
2
3
Number of Row Addresses  
Number of Column Addresses  
DIMM Rank and Stacking Information  
Data Width  
4
5
6
7
Not used  
8
Interface Voltage Level  
9
t
t
CK @ CLMAX (Byte 18) [ns]  
10  
11  
12  
13  
14  
15  
16  
17  
18  
19  
20  
AC SDRAM @ CLMAX (Byte 18) [ns]  
Error Correction Support (non-ECC, ECC)  
Refresh Rate and Type  
Primary SDRAM Width  
Error Checking SDRAM Width  
Not used  
Burst Length Supported  
Number of Banks on SDRAM Device  
Supported CAS Latencies  
DIMM Mechanical Characteristics  
DIMM Type Information  
Rev. 1.3, 2006-12  
48  
03292006-6GMD-RSFT  
Internet Data Sheet  
HYS[64/72]T[32/64/128]xx0HU-[25F/2.5/3/3S/3.7/5]-B  
Unbuffered DDR2 SDRAM Module  
Product Type  
Organization  
256MB  
512MB  
512MB  
1 GByte 1 GByte  
×64  
×64  
×72  
×64  
×72  
1 Rank  
1 Rank  
1 Rank  
2 Ranks 2 Ranks  
(×16)  
(×8)  
(×8)  
(×8)  
(×8)  
Label Code  
PC2–  
6400U–  
666  
PC2–  
6400U–  
666  
PC2–  
6400E–  
666  
PC2–  
6400U–  
666  
PC2–  
6400E–  
666  
JEDEC SPD Revision  
Rev. 1.2 Rev. 1.2 Rev. 1.2 Rev. 1.2 Rev. 1.2  
Byte#  
Description  
HEX  
HEX  
HEX  
HEX  
HEX  
21  
22  
23  
24  
25  
26  
27  
28  
29  
30  
31  
32  
33  
34  
35  
36  
37  
38  
39  
40  
41  
42  
43  
44  
DIMM Attributes  
00  
07  
30  
45  
3D  
50  
3C  
28  
3C  
2D  
40  
17  
25  
05  
12  
3C  
1E  
1E  
00  
00  
3C  
69  
80  
14  
00  
07  
30  
45  
3D  
50  
3C  
1E  
3C  
2D  
80  
17  
25  
05  
12  
3C  
1E  
1E  
00  
00  
3C  
69  
80  
14  
00  
07  
30  
45  
3D  
50  
3C  
1E  
3C  
2D  
80  
17  
25  
05  
12  
3C  
1E  
1E  
00  
00  
3C  
69  
80  
14  
00  
07  
30  
45  
3D  
50  
3C  
1E  
3C  
2D  
80  
17  
25  
05  
12  
3C  
1E  
1E  
00  
00  
3C  
69  
80  
14  
00  
07  
30  
45  
3D  
50  
3C  
1E  
3C  
2D  
80  
17  
25  
05  
12  
3C  
1E  
1E  
00  
00  
3C  
69  
80  
14  
Component Attributes  
t
t
t
t
t
t
t
t
CK @ CLMAX -1 (Byte 18) [ns]  
AC SDRAM @ CLMAX -1 [ns]  
CK @ CLMAX -2 (Byte 18) [ns]  
AC SDRAM @ CLMAX -2 [ns]  
RP.MIN [ns]  
RRD.MIN [ns]  
RCD.MIN [ns]  
RAS.MIN [ns]  
Module Density per Rank  
t
t
t
t
t
t
t
AS.MIN and tCS.MIN [ns]  
AH.MIN and tCH.MIN [ns]  
DS.MIN [ns]  
DH.MIN [ns]  
WR.MIN [ns]  
WTR.MIN [ns]  
RTP.MIN [ns]  
Analysis Characteristics  
t
t
t
t
t
RC and tRFC Extension  
RC.MIN [ns]  
RFC.MIN [ns]  
CK.MAX [ns]  
DQSQ.MAX [ns]  
Rev. 1.3, 2006-12  
49  
03292006-6GMD-RSFT  
Internet Data Sheet  
HYS[64/72]T[32/64/128]xx0HU-[25F/2.5/3/3S/3.7/5]-B  
Unbuffered DDR2 SDRAM Module  
Product Type  
Organization  
256MB  
512MB  
512MB  
1 GByte 1 GByte  
×64  
×64  
×72  
×64  
×72  
1 Rank  
1 Rank  
1 Rank  
2 Ranks 2 Ranks  
(×16)  
(×8)  
(×8)  
(×8)  
(×8)  
Label Code  
PC2–  
6400U–  
666  
PC2–  
6400U–  
666  
PC2–  
6400E–  
666  
PC2–  
6400U–  
666  
PC2–  
6400E–  
666  
JEDEC SPD Revision  
Rev. 1.2 Rev. 1.2 Rev. 1.2 Rev. 1.2 Rev. 1.2  
Byte#  
Description  
QHS.MAX [ns]  
PLL Relock Time  
CASE.MAX Delta / T4R4W Delta  
HEX  
HEX  
HEX  
HEX  
HEX  
45  
46  
47  
48  
49  
50  
51  
52  
53  
54  
55  
56  
57  
58  
59  
60  
61  
62  
63  
64  
65  
66  
67  
68  
t
1E  
00  
55  
72  
6F  
37  
33  
2B  
54  
27  
62  
1F  
37  
00  
00  
00  
00  
12  
0E  
7F  
7F  
7F  
7F  
7F  
1E  
00  
50  
7A  
5B  
3B  
36  
2E  
5A  
2A  
5A  
22  
25  
00  
00  
00  
00  
12  
28  
7F  
7F  
7F  
7F  
7F  
1E  
00  
50  
7A  
5B  
3B  
36  
2E  
5A  
2A  
5A  
22  
25  
00  
00  
00  
00  
12  
3A  
7F  
7F  
7F  
7F  
7F  
1E  
00  
50  
7A  
5B  
3B  
36  
2E  
5A  
2A  
5A  
22  
25  
00  
00  
00  
00  
12  
29  
7F  
7F  
7F  
7F  
7F  
1E  
00  
50  
7A  
5B  
3B  
36  
2E  
5A  
2A  
5A  
22  
25  
00  
00  
00  
00  
12  
3B  
7F  
7F  
7F  
7F  
7F  
T
Psi(T-A) DRAM  
T0 (DT0)  
T2N (DT2N, UDIMM) or T2Q (DT2Q, RDIMM)  
T2P (DT2P)  
T3N (DT3N)  
T3P.fast (DT3P fast)  
T3P.slow (DT3P slow)  
T4R (DT4R) / T4R4W Sign (DT4R4W)  
T5B (DT5B)  
T7 (DT7)  
Psi(ca) PLL  
Psi(ca) REG  
TPLL (DTPLL)  
TREG (DTREG) / Toggle Rate  
SPD Revision  
Checksum of Bytes 0-62  
Manufacturer’s JEDEC ID Code (1)  
Manufacturer’s JEDEC ID Code (2)  
Manufacturer’s JEDEC ID Code (3)  
Manufacturer’s JEDEC ID Code (4)  
Manufacturer’s JEDEC ID Code (5)  
Rev. 1.3, 2006-12  
50  
03292006-6GMD-RSFT  
Internet Data Sheet  
HYS[64/72]T[32/64/128]xx0HU-[25F/2.5/3/3S/3.7/5]-B  
Unbuffered DDR2 SDRAM Module  
Product Type  
Organization  
256MB  
512MB  
512MB  
1 GByte 1 GByte  
×64  
×64  
×72  
×64  
×72  
1 Rank  
1 Rank  
1 Rank  
2 Ranks 2 Ranks  
(×16)  
(×8)  
(×8)  
(×8)  
(×8)  
Label Code  
PC2–  
6400U–  
666  
PC2–  
6400U–  
666  
PC2–  
6400E–  
666  
PC2–  
6400U–  
666  
PC2–  
6400E–  
666  
JEDEC SPD Revision  
Rev. 1.2 Rev. 1.2 Rev. 1.2 Rev. 1.2 Rev. 1.2  
Byte#  
Description  
HEX  
HEX  
HEX  
HEX  
HEX  
69  
70  
71  
72  
73  
74  
75  
76  
77  
78  
79  
80  
81  
82  
83  
84  
85  
86  
87  
88  
89  
90  
91  
92  
Manufacturer’s JEDEC ID Code (6)  
Manufacturer’s JEDEC ID Code (7)  
Manufacturer’s JEDEC ID Code (8)  
Module Manufacturer Location  
Product Type, Char 1  
51  
00  
00  
xx  
51  
00  
00  
xx  
51  
00  
00  
xx  
51  
00  
00  
xx  
51  
00  
00  
xx  
36  
34  
54  
33  
32  
30  
30  
30  
48  
55  
32  
2E  
35  
42  
20  
20  
20  
20  
4x  
xx  
36  
34  
54  
36  
34  
30  
30  
30  
48  
55  
32  
2E  
35  
42  
20  
20  
20  
20  
4x  
xx  
37  
32  
54  
36  
34  
30  
30  
30  
48  
55  
32  
2E  
35  
42  
20  
20  
20  
20  
4x  
xx  
36  
34  
54  
31  
32  
38  
30  
32  
30  
48  
55  
32  
2E  
35  
42  
20  
20  
20  
4x  
xx  
37  
32  
54  
31  
32  
38  
30  
32  
30  
48  
55  
32  
2E  
35  
42  
20  
20  
20  
4x  
xx  
Product Type, Char 2  
Product Type, Char 3  
Product Type, Char 4  
Product Type, Char 5  
Product Type, Char 6  
Product Type, Char 7  
Product Type, Char 8  
Product Type, Char 9  
Product Type, Char 10  
Product Type, Char 11  
Product Type, Char 12  
Product Type, Char 13  
Product Type, Char 14  
Product Type, Char 15  
Product Type, Char 16  
Product Type, Char 17  
Product Type, Char 18  
Module Revision Code  
Test Program Revision Code  
Rev. 1.3, 2006-12  
51  
03292006-6GMD-RSFT  
Internet Data Sheet  
HYS[64/72]T[32/64/128]xx0HU-[25F/2.5/3/3S/3.7/5]-B  
Unbuffered DDR2 SDRAM Module  
Product Type  
Organization  
256MB  
512MB  
512MB  
1 GByte 1 GByte  
×64  
×64  
×72  
×64  
×72  
1 Rank  
1 Rank  
1 Rank  
2 Ranks 2 Ranks  
(×16)  
(×8)  
(×8)  
(×8)  
(×8)  
Label Code  
PC2–  
6400U–  
666  
PC2–  
6400U–  
666  
PC2–  
6400E–  
666  
PC2–  
6400U–  
666  
PC2–  
6400E–  
666  
JEDEC SPD Revision  
Rev. 1.2 Rev. 1.2 Rev. 1.2 Rev. 1.2 Rev. 1.2  
Byte#  
Description  
HEX  
HEX  
HEX  
HEX  
HEX  
93  
94  
Module Manufacturing Date Year  
Module Manufacturing Date Week  
xx  
xx  
xx  
00  
FF  
xx  
xx  
xx  
00  
FF  
xx  
xx  
xx  
00  
FF  
xx  
xx  
xx  
00  
FF  
xx  
xx  
xx  
00  
FF  
95 - 98 Module Serial Number  
99 - 127 Not used  
128 -  
255  
Blank for customer use  
Rev. 1.3, 2006-12  
52  
03292006-6GMD-RSFT  
Internet Data Sheet  
HYS[64/72]T[32/64/128]xx0HU-[25F/2.5/3/3S/3.7/5]-B  
Unbuffered DDR2 SDRAM Module  
TABLE 32  
SPD Codes for HYS[64/72]T[32/64/128]xxxHU–3–B  
Product Type  
Organization  
256MB  
512MB  
512MB  
1 GByte 1 GByte  
×64  
×64  
×72  
×64  
×72  
1 Rank  
1 Rank  
1 Rank  
2 Ranks 2 Ranks  
(×16)  
(×8)  
(×8)  
(×8)  
(×8)  
Label Code  
PC2–  
5300U–  
444  
PC2–  
5300U–  
444  
PC2–  
5300E–  
444  
PC2–  
5300U–  
444  
PC2–  
5300E–  
444  
JEDEC SPD Revision  
Rev. 1.2 Rev. 1.2 Rev. 1.2 Rev. 1.2 Rev. 1.2  
Byte#  
Description  
HEX  
HEX  
HEX  
HEX  
HEX  
0
Programmed SPD Bytes in EEPROM  
Total number of Bytes in EEPROM  
Memory Type (DDR2)  
80  
08  
08  
0D  
0A  
60  
40  
00  
05  
30  
45  
00  
82  
10  
00  
00  
0C  
04  
38  
01  
02  
00  
80  
08  
08  
0E  
0A  
60  
40  
00  
05  
30  
45  
00  
82  
08  
00  
00  
0C  
04  
38  
01  
02  
00  
80  
08  
08  
0E  
0A  
60  
48  
00  
05  
30  
45  
02  
82  
08  
08  
00  
0C  
04  
38  
01  
02  
00  
80  
08  
08  
0E  
0A  
61  
40  
00  
05  
30  
45  
00  
82  
08  
00  
00  
0C  
04  
38  
01  
02  
00  
80  
08  
08  
0E  
0A  
61  
48  
00  
05  
30  
45  
02  
82  
08  
08  
00  
0C  
04  
38  
01  
02  
00  
1
2
3
Number of Row Addresses  
Number of Column Addresses  
DIMM Rank and Stacking Information  
Data Width  
4
5
6
7
Not used  
8
Interface Voltage Level  
9
t
t
CK @ CLMAX (Byte 18) [ns]  
10  
11  
12  
13  
14  
15  
16  
17  
18  
19  
20  
21  
AC SDRAM @ CLMAX (Byte 18) [ns]  
Error Correction Support (non-ECC, ECC)  
Refresh Rate and Type  
Primary SDRAM Width  
Error Checking SDRAM Width  
Not used  
Burst Length Supported  
Number of Banks on SDRAM Device  
Supported CAS Latencies  
DIMM Mechanical Characteristics  
DIMM Type Information  
DIMM Attributes  
Rev. 1.3, 2006-12  
53  
03292006-6GMD-RSFT  
Internet Data Sheet  
HYS[64/72]T[32/64/128]xx0HU-[25F/2.5/3/3S/3.7/5]-B  
Unbuffered DDR2 SDRAM Module  
Product Type  
Organization  
256MB  
512MB  
512MB  
1 GByte 1 GByte  
×64  
×64  
×72  
×64  
×72  
1 Rank  
1 Rank  
1 Rank  
2 Ranks 2 Ranks  
(×16)  
(×8)  
(×8)  
(×8)  
(×8)  
Label Code  
PC2–  
5300U–  
444  
PC2–  
5300U–  
444  
PC2–  
5300E–  
444  
PC2–  
5300U–  
444  
PC2–  
5300E–  
444  
JEDEC SPD Revision  
Rev. 1.2 Rev. 1.2 Rev. 1.2 Rev. 1.2 Rev. 1.2  
Byte#  
Description  
HEX  
HEX  
HEX  
HEX  
HEX  
22  
23  
24  
25  
26  
27  
28  
29  
30  
31  
32  
33  
34  
35  
36  
37  
38  
39  
40  
41  
42  
43  
44  
45  
Component Attributes  
07  
30  
45  
50  
60  
30  
28  
30  
2D  
40  
20  
27  
10  
17  
3C  
1E  
1E  
00  
00  
39  
69  
80  
18  
22  
07  
30  
45  
50  
60  
30  
1E  
30  
2D  
80  
20  
27  
10  
17  
3C  
1E  
1E  
00  
00  
39  
69  
80  
18  
22  
07  
30  
45  
50  
60  
30  
1E  
30  
2D  
80  
20  
27  
10  
17  
3C  
1E  
1E  
00  
00  
39  
69  
80  
18  
22  
07  
30  
45  
50  
60  
30  
1E  
30  
2D  
80  
20  
27  
10  
17  
3C  
1E  
1E  
00  
00  
39  
69  
80  
18  
22  
07  
30  
45  
50  
60  
30  
1E  
30  
2D  
80  
20  
27  
10  
17  
3C  
1E  
1E  
00  
00  
39  
69  
80  
18  
22  
t
t
t
t
t
t
t
t
CK @ CLMAX -1 (Byte 18) [ns]  
AC SDRAM @ CLMAX -1 [ns]  
CK @ CLMAX -2 (Byte 18) [ns]  
AC SDRAM @ CLMAX -2 [ns]  
RP.MIN [ns]  
RRD.MIN [ns]  
RCD.MIN [ns]  
RAS.MIN [ns]  
Module Density per Rank  
t
t
t
t
t
t
t
AS.MIN and tCS.MIN [ns]  
AH.MIN and tCH.MIN [ns]  
DS.MIN [ns]  
DH.MIN [ns]  
WR.MIN [ns]  
WTR.MIN [ns]  
RTP.MIN [ns]  
Analysis Characteristics  
t
t
t
t
t
t
RC and tRFC Extension  
RC.MIN [ns]  
RFC.MIN [ns]  
CK.MAX [ns]  
DQSQ.MAX [ns]  
QHS.MAX [ns]  
Rev. 1.3, 2006-12  
54  
03292006-6GMD-RSFT  
Internet Data Sheet  
HYS[64/72]T[32/64/128]xx0HU-[25F/2.5/3/3S/3.7/5]-B  
Unbuffered DDR2 SDRAM Module  
Product Type  
Organization  
256MB  
512MB  
512MB  
1 GByte 1 GByte  
×64  
×64  
×72  
×64  
×72  
1 Rank  
1 Rank  
1 Rank  
2 Ranks 2 Ranks  
(×16)  
(×8)  
(×8)  
(×8)  
(×8)  
Label Code  
PC2–  
5300U–  
444  
PC2–  
5300U–  
444  
PC2–  
5300E–  
444  
PC2–  
5300U–  
444  
PC2–  
5300E–  
444  
JEDEC SPD Revision  
Rev. 1.2 Rev. 1.2 Rev. 1.2 Rev. 1.2 Rev. 1.2  
Byte#  
Description  
HEX  
HEX  
HEX  
HEX  
HEX  
46  
47  
48  
49  
50  
51  
52  
53  
54  
55  
56  
57  
58  
59  
60  
61  
62  
63  
64  
65  
66  
67  
68  
69  
PLL Relock Time  
00  
54  
72  
67  
31  
33  
24  
47  
27  
54  
1E  
37  
00  
00  
00  
00  
12  
DF  
7F  
7F  
7F  
7F  
7F  
51  
00  
50  
7A  
53  
34  
36  
27  
4C  
2A  
4C  
20  
25  
00  
00  
00  
00  
12  
F7  
7F  
7F  
7F  
7F  
7F  
51  
00  
50  
7A  
53  
34  
36  
27  
4C  
2A  
4C  
20  
25  
00  
00  
00  
00  
12  
09  
7F  
7F  
7F  
7F  
7F  
51  
00  
50  
7A  
53  
34  
36  
27  
4C  
2A  
4C  
20  
25  
00  
00  
00  
00  
12  
F8  
7F  
7F  
7F  
7F  
7F  
51  
00  
50  
7A  
53  
34  
36  
27  
4C  
2A  
4C  
20  
25  
00  
00  
00  
00  
12  
0A  
7F  
7F  
7F  
7F  
7F  
51  
TCASE.MAX Delta / T4R4W Delta  
Psi(T-A) DRAM  
T0 (DT0)  
T2N (DT2N, UDIMM) or T2Q (DT2Q, RDIMM)  
T2P (DT2P)  
T3N (DT3N)  
T3P.fast (DT3P fast)  
T3P.slow (DT3P slow)  
T4R (DT4R) / T4R4W Sign (DT4R4W)  
T5B (DT5B)  
T7 (DT7)  
Psi(ca) PLL  
Psi(ca) REG  
TPLL (DTPLL)  
TREG (DTREG) / Toggle Rate  
SPD Revision  
Checksum of Bytes 0-62  
Manufacturer’s JEDEC ID Code (1)  
Manufacturer’s JEDEC ID Code (2)  
Manufacturer’s JEDEC ID Code (3)  
Manufacturer’s JEDEC ID Code (4)  
Manufacturer’s JEDEC ID Code (5)  
Manufacturer’s JEDEC ID Code (6)  
Rev. 1.3, 2006-12  
55  
03292006-6GMD-RSFT  
Internet Data Sheet  
HYS[64/72]T[32/64/128]xx0HU-[25F/2.5/3/3S/3.7/5]-B  
Unbuffered DDR2 SDRAM Module  
Product Type  
Organization  
256MB  
512MB  
512MB  
1 GByte 1 GByte  
×64  
×64  
×72  
×64  
×72  
1 Rank  
1 Rank  
1 Rank  
2 Ranks 2 Ranks  
(×16)  
(×8)  
(×8)  
(×8)  
(×8)  
Label Code  
PC2–  
5300U–  
444  
PC2–  
5300U–  
444  
PC2–  
5300E–  
444  
PC2–  
5300U–  
444  
PC2–  
5300E–  
444  
JEDEC SPD Revision  
Rev. 1.2 Rev. 1.2 Rev. 1.2 Rev. 1.2 Rev. 1.2  
Byte#  
Description  
HEX  
HEX  
HEX  
HEX  
HEX  
70  
71  
72  
73  
74  
75  
76  
77  
78  
79  
80  
81  
82  
83  
84  
85  
86  
87  
88  
89  
90  
91  
92  
93  
Manufacturer’s JEDEC ID Code (7)  
Manufacturer’s JEDEC ID Code (8)  
Module Manufacturer Location  
Product Type, Char 1  
00  
00  
xx  
36  
34  
54  
33  
32  
30  
30  
30  
48  
55  
33  
42  
20  
20  
20  
20  
20  
20  
3x  
xx  
xx  
00  
00  
xx  
36  
34  
54  
36  
34  
30  
30  
30  
48  
55  
33  
42  
20  
20  
20  
20  
20  
20  
4x  
xx  
xx  
00  
00  
xx  
37  
32  
54  
36  
34  
30  
30  
30  
48  
55  
33  
42  
20  
20  
20  
20  
20  
20  
4x  
xx  
xx  
00  
00  
xx  
36  
34  
54  
31  
32  
38  
30  
32  
30  
48  
55  
33  
42  
20  
20  
20  
20  
20  
4x  
xx  
xx  
00  
00  
xx  
37  
32  
54  
31  
32  
38  
30  
32  
30  
48  
55  
33  
42  
20  
20  
20  
20  
20  
4x  
xx  
xx  
Product Type, Char 2  
Product Type, Char 3  
Product Type, Char 4  
Product Type, Char 5  
Product Type, Char 6  
Product Type, Char 7  
Product Type, Char 8  
Product Type, Char 9  
Product Type, Char 10  
Product Type, Char 11  
Product Type, Char 12  
Product Type, Char 13  
Product Type, Char 14  
Product Type, Char 15  
Product Type, Char 16  
Product Type, Char 17  
Product Type, Char 18  
Module Revision Code  
Test Program Revision Code  
Module Manufacturing Date Year  
Rev. 1.3, 2006-12  
56  
03292006-6GMD-RSFT  
Internet Data Sheet  
HYS[64/72]T[32/64/128]xx0HU-[25F/2.5/3/3S/3.7/5]-B  
Unbuffered DDR2 SDRAM Module  
Product Type  
Organization  
256MB  
512MB  
512MB  
1 GByte 1 GByte  
×64  
×64  
×72  
×64  
×72  
1 Rank  
1 Rank  
1 Rank  
2 Ranks 2 Ranks  
(×16)  
(×8)  
(×8)  
(×8)  
(×8)  
Label Code  
PC2–  
5300U–  
444  
PC2–  
5300U–  
444  
PC2–  
5300E–  
444  
PC2–  
5300U–  
444  
PC2–  
5300E–  
444  
JEDEC SPD Revision  
Rev. 1.2 Rev. 1.2 Rev. 1.2 Rev. 1.2 Rev. 1.2  
Byte#  
Description  
HEX  
HEX  
HEX  
HEX  
HEX  
94  
Module Manufacturing Date Week  
xx  
xx  
00  
FF  
xx  
xx  
00  
FF  
xx  
xx  
00  
FF  
xx  
xx  
00  
FF  
xx  
xx  
00  
FF  
95 - 98 Module Serial Number  
99 - 127 Not used  
128 -  
255  
Blank for customer use  
Rev. 1.3, 2006-12  
57  
03292006-6GMD-RSFT  
Internet Data Sheet  
HYS[64/72]T[32/64/128]xx0HU-[25F/2.5/3/3S/3.7/5]-B  
Unbuffered DDR2 SDRAM Module  
TABLE 33  
SPD Codes for HYS64T[32/64]x00HU–3S–B  
Product Type  
Organization  
256MB  
256MB  
512MB  
512MB  
×64  
×64  
×64  
×64  
1 Rank (×16) 1 Rank (×16) 1 Rank (×8) 1 Rank (×8)  
Label Code  
PC2– PC2– PC2– PC2–  
5300U–555 5300U–555 5300U–555 5300U–555  
JEDEC SPD Revision  
Rev. 1.2  
HEX  
Rev. 1.2  
HEX  
Rev. 1.2  
HEX  
Rev. 1.2  
HEX  
Byte#  
Description  
0
Programmed SPD Bytes in EEPROM  
Total number of Bytes in EEPROM  
Memory Type (DDR2)  
80  
08  
08  
0D  
0A  
60  
40  
00  
05  
30  
45  
00  
82  
10  
00  
00  
0C  
04  
38  
01  
02  
00  
07  
80  
08  
08  
0D  
0A  
60  
40  
00  
05  
30  
45  
00  
82  
10  
00  
00  
0C  
04  
38  
01  
02  
00  
07  
80  
08  
08  
0E  
0A  
60  
40  
00  
05  
30  
45  
00  
82  
08  
00  
00  
0C  
04  
38  
01  
02  
00  
07  
80  
08  
08  
0E  
0A  
60  
40  
00  
05  
30  
45  
00  
82  
08  
00  
00  
0C  
04  
38  
01  
02  
00  
07  
1
2
3
Number of Row Addresses  
Number of Column Addresses  
DIMM Rank and Stacking Information  
Data Width  
4
5
6
7
Not used  
8
Interface Voltage Level  
9
t
t
CK @ CLMAX (Byte 18) [ns]  
10  
11  
12  
13  
14  
15  
16  
17  
18  
19  
20  
21  
22  
AC SDRAM @ CLMAX (Byte 18) [ns]  
Error Correction Support (non-ECC, ECC)  
Refresh Rate and Type  
Primary SDRAM Width  
Error Checking SDRAM Width  
Not used  
Burst Length Supported  
Number of Banks on SDRAM Device  
Supported CAS Latencies  
DIMM Mechanical Characteristics  
DIMM Type Information  
DIMM Attributes  
Component Attributes  
Rev. 1.3, 2006-12  
58  
03292006-6GMD-RSFT  
Internet Data Sheet  
HYS[64/72]T[32/64/128]xx0HU-[25F/2.5/3/3S/3.7/5]-B  
Unbuffered DDR2 SDRAM Module  
Product Type  
Organization  
256MB  
256MB  
512MB  
512MB  
×64  
×64  
×64  
×64  
1 Rank (×16) 1 Rank (×16) 1 Rank (×8) 1 Rank (×8)  
Label Code  
PC2– PC2– PC2– PC2–  
5300U–555 5300U–555 5300U–555 5300U–555  
JEDEC SPD Revision  
Rev. 1.2  
HEX  
Rev. 1.2  
HEX  
Rev. 1.2  
HEX  
Rev. 1.2  
HEX  
Byte#  
Description  
23  
24  
25  
26  
27  
28  
29  
30  
31  
32  
33  
34  
35  
36  
37  
38  
39  
40  
41  
42  
43  
44  
45  
46  
47  
48  
t
t
t
t
t
t
t
t
CK @ CLMAX -1 (Byte 18) [ns]  
AC SDRAM @ CLMAX -1 [ns]  
CK @ CLMAX -2 (Byte 18) [ns]  
AC SDRAM @ CLMAX -2 [ns]  
RP.MIN [ns]  
3D  
50  
50  
60  
3C  
28  
3C  
2D  
40  
20  
27  
10  
17  
3C  
1E  
1E  
00  
00  
3C  
69  
80  
18  
22  
00  
54  
72  
3D  
50  
50  
60  
3C  
28  
3C  
2D  
40  
20  
27  
10  
17  
3C  
1E  
1E  
00  
00  
3C  
69  
80  
18  
22  
00  
54  
72  
3D  
50  
50  
60  
3C  
1E  
3C  
2D  
80  
20  
27  
10  
17  
3C  
1E  
1E  
00  
00  
3C  
69  
80  
18  
22  
00  
50  
7A  
3D  
50  
50  
60  
3C  
1E  
3C  
2D  
80  
20  
27  
10  
17  
3C  
1E  
1E  
00  
00  
3C  
69  
80  
18  
22  
00  
50  
7A  
RRD.MIN [ns]  
RCD.MIN [ns]  
RAS.MIN [ns]  
Module Density per Rank  
t
t
t
t
t
t
t
AS.MIN and tCS.MIN [ns]  
AH.MIN and tCH.MIN [ns]  
DS.MIN [ns]  
DH.MIN [ns]  
WR.MIN [ns]  
WTR.MIN [ns]  
RTP.MIN [ns]  
Analysis Characteristics  
t
t
t
t
t
t
RC and tRFC Extension  
RC.MIN [ns]  
RFC.MIN [ns]  
CK.MAX [ns]  
DQSQ.MAX [ns]  
QHS.MAX [ns]  
PLL Relock Time  
CASE.MAX Delta / T4R4W Delta  
Psi(T-A) DRAM  
T
Rev. 1.3, 2006-12  
59  
03292006-6GMD-RSFT  
Internet Data Sheet  
HYS[64/72]T[32/64/128]xx0HU-[25F/2.5/3/3S/3.7/5]-B  
Unbuffered DDR2 SDRAM Module  
Product Type  
Organization  
256MB  
256MB  
512MB  
512MB  
×64  
×64  
×64  
×64  
1 Rank (×16) 1 Rank (×16) 1 Rank (×8) 1 Rank (×8)  
Label Code  
PC2– PC2– PC2– PC2–  
5300U–555 5300U–555 5300U–555 5300U–555  
JEDEC SPD Revision  
Rev. 1.2  
HEX  
Rev. 1.2  
HEX  
Rev. 1.2  
HEX  
Rev. 1.2  
HEX  
Byte#  
Description  
49  
50  
51  
52  
53  
54  
55  
56  
57  
58  
59  
60  
61  
62  
63  
64  
65  
66  
67  
68  
69  
70  
71  
72  
73  
74  
T0 (DT0)  
5F  
31  
33  
24  
47  
27  
54  
1E  
34  
00  
00  
00  
00  
12  
07  
7F  
7F  
7F  
7F  
7F  
51  
00  
00  
xx  
5F  
31  
33  
24  
47  
27  
54  
1E  
34  
00  
00  
00  
00  
12  
07  
7F  
7F  
7F  
7F  
7F  
51  
00  
00  
xx  
4B  
34  
36  
27  
4C  
2A  
4C  
20  
23  
00  
00  
00  
00  
12  
20  
7F  
7F  
7F  
7F  
7F  
51  
00  
00  
xx  
4B  
34  
36  
27  
4C  
2A  
4C  
20  
23  
00  
00  
00  
00  
12  
20  
7F  
7F  
7F  
7F  
7F  
51  
00  
00  
xx  
T2N (DT2N, UDIMM) or T2Q (DT2Q, RDIMM)  
T2P (DT2P)  
T3N (DT3N)  
T3P.fast (DT3P fast)  
T3P.slow (DT3P slow)  
T4R (DT4R) / T4R4W Sign (DT4R4W)  
T5B (DT5B)  
T7 (DT7)  
Psi(ca) PLL  
Psi(ca) REG  
TPLL (DTPLL)  
TREG (DTREG) / Toggle Rate  
SPD Revision  
Checksum of Bytes 0-62  
Manufacturer’s JEDEC ID Code (1)  
Manufacturer’s JEDEC ID Code (2)  
Manufacturer’s JEDEC ID Code (3)  
Manufacturer’s JEDEC ID Code (4)  
Manufacturer’s JEDEC ID Code (5)  
Manufacturer’s JEDEC ID Code (6)  
Manufacturer’s JEDEC ID Code (7)  
Manufacturer’s JEDEC ID Code (8)  
Module Manufacturer Location  
Product Type, Char 1  
36  
34  
36  
34  
36  
34  
36  
34  
Product Type, Char 2  
Rev. 1.3, 2006-12  
60  
03292006-6GMD-RSFT  
Internet Data Sheet  
HYS[64/72]T[32/64/128]xx0HU-[25F/2.5/3/3S/3.7/5]-B  
Unbuffered DDR2 SDRAM Module  
Product Type  
Organization  
256MB  
256MB  
512MB  
512MB  
×64  
×64  
×64  
×64  
1 Rank (×16) 1 Rank (×16) 1 Rank (×8) 1 Rank (×8)  
Label Code  
PC2– PC2– PC2– PC2–  
5300U–555 5300U–555 5300U–555 5300U–555  
JEDEC SPD Revision  
Rev. 1.2  
HEX  
Rev. 1.2  
HEX  
Rev. 1.2  
HEX  
Rev. 1.2  
HEX  
Byte#  
Description  
75  
76  
77  
78  
79  
80  
81  
82  
83  
84  
85  
86  
87  
88  
89  
90  
91  
92  
93  
94  
Product Type, Char 3  
54  
33  
32  
30  
30  
30  
48  
55  
33  
53  
42  
20  
20  
20  
20  
20  
4x  
xx  
xx  
xx  
xx  
00  
FF  
54  
33  
32  
39  
30  
30  
48  
55  
33  
53  
42  
20  
20  
20  
20  
20  
2x  
xx  
xx  
xx  
xx  
00  
FF  
54  
36  
34  
30  
30  
30  
48  
55  
33  
53  
42  
20  
20  
20  
20  
20  
4x  
xx  
xx  
xx  
xx  
54  
36  
34  
39  
30  
30  
48  
55  
33  
53  
42  
20  
20  
20  
20  
20  
2x  
xx  
xx  
xx  
Product Type, Char 4  
Product Type, Char 5  
Product Type, Char 6  
Product Type, Char 7  
Product Type, Char 8  
Product Type, Char 9  
Product Type, Char 10  
Product Type, Char 11  
Product Type, Char 12  
Product Type, Char 13  
Product Type, Char 14  
Product Type, Char 15  
Product Type, Char 16  
Product Type, Char 17  
Product Type, Char 18  
Module Revision Code  
Test Program Revision Code  
Module Manufacturing Date Year  
Module Manufacturing Date Week  
95 - 98 Module Serial Number  
99 - 127 Not used  
xx  
00  
FF  
00  
FF  
128 -  
255  
Blank for customer use  
Rev. 1.3, 2006-12  
61  
03292006-6GMD-RSFT  
Internet Data Sheet  
HYS[64/72]T[32/64/128]xx0HU-[25F/2.5/3/3S/3.7/5]-B  
Unbuffered DDR2 SDRAM Module  
TABLE 34  
SPD Codes for HYS[64/72]T[64/128]xx0HU–3S–B  
Product Type  
Organization  
512MB  
1 GByte  
1 GByte  
1 GByte  
×72  
×64  
×64  
×72  
1 Rank (×8) 2 Ranks (×8) 2 Ranks (×8) 2 Ranks (×8)  
Label Code  
PC2– PC2– PC2– PC2–  
5300E–555 5300U–555 5300U–555 5300E–555  
JEDEC SPD Revision  
Rev. 1.2  
HEX  
Rev. 1.2  
HEX  
Rev. 1.2  
HEX  
Rev. 1.2  
HEX  
Byte#  
Description  
0
Programmed SPD Bytes in EEPROM  
Total number of Bytes in EEPROM  
Memory Type (DDR2)  
80  
08  
08  
0E  
0A  
60  
48  
00  
05  
30  
45  
02  
82  
08  
08  
00  
0C  
04  
38  
01  
02  
00  
07  
80  
08  
08  
0E  
0A  
61  
40  
00  
05  
30  
45  
00  
82  
08  
00  
00  
0C  
04  
38  
01  
02  
00  
07  
80  
08  
08  
0E  
0A  
61  
40  
00  
05  
30  
45  
00  
82  
08  
00  
00  
0C  
04  
38  
01  
02  
00  
07  
80  
08  
08  
0E  
0A  
61  
48  
00  
05  
30  
45  
02  
82  
08  
08  
00  
0C  
04  
38  
01  
02  
00  
07  
1
2
3
Number of Row Addresses  
Number of Column Addresses  
DIMM Rank and Stacking Information  
Data Width  
4
5
6
7
Not used  
8
Interface Voltage Level  
9
t
t
CK @ CLMAX (Byte 18) [ns]  
10  
11  
12  
13  
14  
15  
16  
17  
18  
19  
20  
21  
22  
AC SDRAM @ CLMAX (Byte 18) [ns]  
Error Correction Support (non-ECC, ECC)  
Refresh Rate and Type  
Primary SDRAM Width  
Error Checking SDRAM Width  
Not used  
Burst Length Supported  
Number of Banks on SDRAM Device  
Supported CAS Latencies  
DIMM Mechanical Characteristics  
DIMM Type Information  
DIMM Attributes  
Component Attributes  
Rev. 1.3, 2006-12  
62  
03292006-6GMD-RSFT  
Internet Data Sheet  
HYS[64/72]T[32/64/128]xx0HU-[25F/2.5/3/3S/3.7/5]-B  
Unbuffered DDR2 SDRAM Module  
Product Type  
Organization  
512MB  
1 GByte  
1 GByte  
1 GByte  
×72  
×64  
×64  
×72  
1 Rank (×8) 2 Ranks (×8) 2 Ranks (×8) 2 Ranks (×8)  
Label Code  
PC2– PC2– PC2– PC2–  
5300E–555 5300U–555 5300U–555 5300E–555  
JEDEC SPD Revision  
Rev. 1.2  
HEX  
Rev. 1.2  
HEX  
Rev. 1.2  
HEX  
Rev. 1.2  
HEX  
Byte#  
Description  
23  
24  
25  
26  
27  
28  
29  
30  
31  
32  
33  
34  
35  
36  
37  
38  
39  
40  
41  
42  
43  
44  
45  
46  
47  
48  
t
t
t
t
t
t
t
t
CK @ CLMAX -1 (Byte 18) [ns]  
AC SDRAM @ CLMAX -1 [ns]  
CK @ CLMAX -2 (Byte 18) [ns]  
AC SDRAM @ CLMAX -2 [ns]  
RP.MIN [ns]  
3D  
50  
50  
60  
3C  
1E  
3C  
2D  
80  
20  
27  
10  
17  
3C  
1E  
1E  
00  
00  
3C  
69  
80  
18  
22  
00  
50  
7A  
3D  
50  
50  
60  
3C  
1E  
3C  
2D  
80  
20  
27  
10  
17  
3C  
1E  
1E  
00  
00  
3C  
69  
80  
18  
22  
00  
50  
7A  
3D  
50  
50  
60  
3C  
1E  
3C  
2D  
80  
20  
27  
10  
17  
3C  
1E  
1E  
00  
00  
3C  
69  
80  
18  
22  
00  
50  
7A  
3D  
50  
50  
60  
3C  
1E  
3C  
2D  
80  
20  
27  
10  
17  
3C  
1E  
1E  
00  
00  
3C  
69  
80  
18  
22  
00  
50  
7A  
RRD.MIN [ns]  
RCD.MIN [ns]  
RAS.MIN [ns]  
Module Density per Rank  
t
t
t
t
t
t
t
AS.MIN and tCS.MIN [ns]  
AH.MIN and tCH.MIN [ns]  
DS.MIN [ns]  
DH.MIN [ns]  
WR.MIN [ns]  
WTR.MIN [ns]  
RTP.MIN [ns]  
Analysis Characteristics  
t
t
t
t
t
t
RC and tRFC Extension  
RC.MIN [ns]  
RFC.MIN [ns]  
CK.MAX [ns]  
DQSQ.MAX [ns]  
QHS.MAX [ns]  
PLL Relock Time  
CASE.MAX Delta / T4R4W Delta  
Psi(T-A) DRAM  
T
Rev. 1.3, 2006-12  
63  
03292006-6GMD-RSFT  
Internet Data Sheet  
HYS[64/72]T[32/64/128]xx0HU-[25F/2.5/3/3S/3.7/5]-B  
Unbuffered DDR2 SDRAM Module  
Product Type  
Organization  
512MB  
1 GByte  
1 GByte  
1 GByte  
×72  
×64  
×64  
×72  
1 Rank (×8) 2 Ranks (×8) 2 Ranks (×8) 2 Ranks (×8)  
Label Code  
PC2– PC2– PC2– PC2–  
5300E–555 5300U–555 5300U–555 5300E–555  
JEDEC SPD Revision  
Rev. 1.2  
HEX  
Rev. 1.2  
HEX  
Rev. 1.2  
HEX  
Rev. 1.2  
HEX  
Byte#  
Description  
49  
50  
51  
52  
53  
54  
55  
56  
57  
58  
59  
60  
61  
62  
63  
64  
65  
66  
67  
68  
69  
70  
71  
72  
73  
74  
T0 (DT0)  
4B  
34  
36  
27  
4C  
2A  
4C  
20  
23  
00  
00  
00  
00  
12  
32  
7F  
7F  
7F  
7F  
7F  
51  
00  
00  
xx  
4B  
34  
36  
27  
4C  
2A  
4C  
20  
23  
00  
00  
00  
00  
12  
21  
7F  
7F  
7F  
7F  
7F  
51  
00  
00  
xx  
4B  
34  
36  
27  
4C  
2A  
4C  
20  
23  
00  
00  
00  
00  
12  
21  
7F  
7F  
7F  
7F  
7F  
51  
00  
00  
xx  
4B  
34  
36  
27  
4C  
2A  
4C  
20  
23  
00  
00  
00  
00  
12  
33  
7F  
7F  
7F  
7F  
7F  
51  
00  
00  
xx  
T2N (DT2N, UDIMM) or T2Q (DT2Q, RDIMM)  
T2P (DT2P)  
T3N (DT3N)  
T3P.fast (DT3P fast)  
T3P.slow (DT3P slow)  
T4R (DT4R) / T4R4W Sign (DT4R4W)  
T5B (DT5B)  
T7 (DT7)  
Psi(ca) PLL  
Psi(ca) REG  
TPLL (DTPLL)  
TREG (DTREG) / Toggle Rate  
SPD Revision  
Checksum of Bytes 0-62  
Manufacturer’s JEDEC ID Code (1)  
Manufacturer’s JEDEC ID Code (2)  
Manufacturer’s JEDEC ID Code (3)  
Manufacturer’s JEDEC ID Code (4)  
Manufacturer’s JEDEC ID Code (5)  
Manufacturer’s JEDEC ID Code (6)  
Manufacturer’s JEDEC ID Code (7)  
Manufacturer’s JEDEC ID Code (8)  
Module Manufacturer Location  
Product Type, Char 1  
37  
32  
36  
34  
36  
34  
37  
32  
Product Type, Char 2  
Rev. 1.3, 2006-12  
64  
03292006-6GMD-RSFT  
Internet Data Sheet  
HYS[64/72]T[32/64/128]xx0HU-[25F/2.5/3/3S/3.7/5]-B  
Unbuffered DDR2 SDRAM Module  
Product Type  
Organization  
512MB  
1 GByte  
1 GByte  
1 GByte  
×72  
×64  
×64  
×72  
1 Rank (×8) 2 Ranks (×8) 2 Ranks (×8) 2 Ranks (×8)  
Label Code  
PC2– PC2– PC2– PC2–  
5300E–555 5300U–555 5300U–555 5300E–555  
JEDEC SPD Revision  
Rev. 1.2  
HEX  
Rev. 1.2  
HEX  
Rev. 1.2  
HEX  
Rev. 1.2  
HEX  
Byte#  
Description  
75  
76  
77  
78  
79  
80  
81  
82  
83  
84  
85  
86  
87  
88  
89  
90  
91  
92  
93  
94  
Product Type, Char 3  
54  
36  
34  
30  
30  
30  
48  
55  
33  
53  
42  
20  
20  
20  
20  
20  
4x  
xx  
xx  
xx  
xx  
00  
FF  
54  
31  
32  
38  
30  
32  
30  
48  
55  
33  
53  
42  
20  
20  
20  
20  
4x  
xx  
xx  
xx  
xx  
00  
FF  
54  
31  
32  
38  
39  
32  
30  
48  
55  
33  
53  
42  
20  
20  
20  
20  
2x  
xx  
xx  
xx  
xx  
54  
31  
32  
38  
30  
32  
30  
48  
55  
33  
53  
42  
20  
20  
20  
20  
4x  
xx  
xx  
xx  
Product Type, Char 4  
Product Type, Char 5  
Product Type, Char 6  
Product Type, Char 7  
Product Type, Char 8  
Product Type, Char 9  
Product Type, Char 10  
Product Type, Char 11  
Product Type, Char 12  
Product Type, Char 13  
Product Type, Char 14  
Product Type, Char 15  
Product Type, Char 16  
Product Type, Char 17  
Product Type, Char 18  
Module Revision Code  
Test Program Revision Code  
Module Manufacturing Date Year  
Module Manufacturing Date Week  
95 - 98 Module Serial Number  
99 - 127 Not used  
xx  
00  
FF  
00  
FF  
128 -  
255  
Blank for customer use  
Rev. 1.3, 2006-12  
65  
03292006-6GMD-RSFT  
Internet Data Sheet  
HYS[64/72]T[32/64/128]xx0HU-[25F/2.5/3/3S/3.7/5]-B  
Unbuffered DDR2 SDRAM Module  
TABLE 35  
SPD Codes for HYS64T[32/64]x00HU–3.7–B  
Product Type  
Organization  
256MB  
256MB  
512MB  
512MB  
×64  
×64  
×64  
×64  
1 Rank (×16) 1 Rank (×16) 1 Rank (×8) 1 Rank (×8)  
Label Code  
PC2– PC2– PC2– PC2–  
4200U–444 4200U–444 4200U–444 4200U–444  
JEDEC SPD Revision  
Rev. 1.2  
HEX  
Rev. 1.2  
HEX  
Rev. 1.2  
HEX  
Rev. 1.2  
HEX  
Byte#  
Description  
0
Programmed SPD Bytes in EEPROM  
Total number of Bytes in EEPROM  
Memory Type (DDR2)  
80  
08  
08  
0D  
0A  
60  
40  
00  
05  
3D  
50  
00  
82  
10  
00  
00  
0C  
04  
38  
01  
02  
00  
07  
80  
08  
08  
0D  
0A  
60  
40  
00  
05  
3D  
50  
00  
82  
10  
00  
00  
0C  
04  
38  
01  
02  
00  
07  
80  
08  
08  
0E  
0A  
60  
40  
00  
05  
3D  
50  
00  
82  
08  
00  
00  
0C  
04  
38  
01  
02  
00  
07  
80  
08  
08  
0E  
0A  
60  
40  
00  
05  
3D  
50  
00  
82  
08  
00  
00  
0C  
04  
38  
01  
02  
00  
07  
1
2
3
Number of Row Addresses  
Number of Column Addresses  
DIMM Rank and Stacking Information  
Data Width  
4
5
6
7
Not used  
8
Interface Voltage Level  
9
t
t
CK @ CLMAX (Byte 18) [ns]  
10  
11  
12  
13  
14  
15  
16  
17  
18  
19  
20  
21  
22  
AC SDRAM @ CLMAX (Byte 18) [ns]  
Error Correction Support (non-ECC, ECC)  
Refresh Rate and Type  
Primary SDRAM Width  
Error Checking SDRAM Width  
Not used  
Burst Length Supported  
Number of Banks on SDRAM Device  
Supported CAS Latencies  
DIMM Mechanical Characteristics  
DIMM Type Information  
DIMM Attributes  
Component Attributes  
Rev. 1.3, 2006-12  
66  
03292006-6GMD-RSFT  
Internet Data Sheet  
HYS[64/72]T[32/64/128]xx0HU-[25F/2.5/3/3S/3.7/5]-B  
Unbuffered DDR2 SDRAM Module  
Product Type  
Organization  
256MB  
256MB  
512MB  
512MB  
×64  
×64  
×64  
×64  
1 Rank (×16) 1 Rank (×16) 1 Rank (×8) 1 Rank (×8)  
Label Code  
PC2– PC2– PC2– PC2–  
4200U–444 4200U–444 4200U–444 4200U–444  
JEDEC SPD Revision  
Rev. 1.2  
HEX  
Rev. 1.2  
HEX  
Rev. 1.2  
HEX  
Rev. 1.2  
HEX  
Byte#  
Description  
23  
24  
25  
26  
27  
28  
29  
30  
31  
32  
33  
34  
35  
36  
37  
38  
39  
40  
41  
42  
43  
44  
45  
46  
47  
48  
t
t
t
t
t
t
t
t
CK @ CLMAX -1 (Byte 18) [ns]  
AC SDRAM @ CLMAX -1 [ns]  
CK @ CLMAX -2 (Byte 18) [ns]  
AC SDRAM @ CLMAX -2 [ns]  
RP.MIN [ns]  
3D  
50  
50  
60  
3C  
28  
3C  
2D  
40  
25  
37  
10  
22  
3C  
1E  
1E  
00  
00  
3C  
69  
80  
1E  
28  
00  
54  
72  
3D  
50  
50  
60  
3C  
28  
3C  
2D  
40  
25  
37  
10  
22  
3C  
1E  
1E  
00  
00  
3C  
69  
80  
1E  
28  
00  
54  
72  
3D  
50  
50  
60  
3C  
1E  
3C  
2D  
80  
25  
37  
10  
22  
3C  
1E  
1E  
00  
00  
3C  
69  
80  
1E  
28  
00  
50  
7A  
3D  
50  
50  
60  
3C  
1E  
3C  
2D  
80  
25  
37  
10  
22  
3C  
1E  
1E  
00  
00  
3C  
69  
80  
1E  
28  
00  
50  
7A  
RRD.MIN [ns]  
RCD.MIN [ns]  
RAS.MIN [ns]  
Module Density per Rank  
t
t
t
t
t
t
t
AS.MIN and tCS.MIN [ns]  
AH.MIN and tCH.MIN [ns]  
DS.MIN [ns]  
DH.MIN [ns]  
WR.MIN [ns]  
WTR.MIN [ns]  
RTP.MIN [ns]  
Analysis Characteristics  
t
t
t
t
t
t
RC and tRFC Extension  
RC.MIN [ns]  
RFC.MIN [ns]  
CK.MAX [ns]  
DQSQ.MAX [ns]  
QHS.MAX [ns]  
PLL Relock Time  
CASE.MAX Delta / T4R4W Delta  
Psi(T-A) DRAM  
T
Rev. 1.3, 2006-12  
67  
03292006-6GMD-RSFT  
Internet Data Sheet  
HYS[64/72]T[32/64/128]xx0HU-[25F/2.5/3/3S/3.7/5]-B  
Unbuffered DDR2 SDRAM Module  
Product Type  
Organization  
256MB  
256MB  
512MB  
512MB  
×64  
×64  
×64  
×64  
1 Rank (×16) 1 Rank (×16) 1 Rank (×8) 1 Rank (×8)  
Label Code  
PC2– PC2– PC2– PC2–  
4200U–444 4200U–444 4200U–444 4200U–444  
JEDEC SPD Revision  
Rev. 1.2  
HEX  
Rev. 1.2  
HEX  
Rev. 1.2  
HEX  
Rev. 1.2  
HEX  
Byte#  
Description  
49  
50  
51  
52  
53  
54  
55  
56  
57  
58  
59  
60  
61  
62  
63  
64  
65  
66  
67  
68  
69  
70  
71  
72  
73  
74  
T0 (DT0)  
53  
29  
33  
1F  
3D  
27  
46  
1C  
32  
00  
00  
00  
00  
12  
16  
7F  
7F  
7F  
7F  
7F  
51  
00  
00  
xx  
53  
29  
33  
1F  
3D  
27  
46  
1C  
32  
00  
00  
00  
00  
12  
16  
7F  
7F  
7F  
7F  
7F  
51  
00  
00  
xx  
43  
2C  
36  
21  
41  
2A  
40  
1E  
22  
00  
00  
00  
00  
12  
34  
7F  
7F  
7F  
7F  
7F  
51  
00  
00  
xx  
43  
2C  
36  
21  
41  
2A  
40  
1E  
22  
00  
00  
00  
00  
12  
34  
7F  
7F  
7F  
7F  
7F  
51  
00  
00  
xx  
T2N (DT2N, UDIMM) or T2Q (DT2Q, RDIMM)  
T2P (DT2P)  
T3N (DT3N)  
T3P.fast (DT3P fast)  
T3P.slow (DT3P slow)  
T4R (DT4R) / T4R4W Sign (DT4R4W)  
T5B (DT5B)  
T7 (DT7)  
Psi(ca) PLL  
Psi(ca) REG  
TPLL (DTPLL)  
TREG (DTREG) / Toggle Rate  
SPD Revision  
Checksum of Bytes 0-62  
Manufacturer’s JEDEC ID Code (1)  
Manufacturer’s JEDEC ID Code (2)  
Manufacturer’s JEDEC ID Code (3)  
Manufacturer’s JEDEC ID Code (4)  
Manufacturer’s JEDEC ID Code (5)  
Manufacturer’s JEDEC ID Code (6)  
Manufacturer’s JEDEC ID Code (7)  
Manufacturer’s JEDEC ID Code (8)  
Module Manufacturer Location  
Product Type, Char 1  
36  
34  
36  
34  
36  
34  
36  
34  
Product Type, Char 2  
Rev. 1.3, 2006-12  
68  
03292006-6GMD-RSFT  
Internet Data Sheet  
HYS[64/72]T[32/64/128]xx0HU-[25F/2.5/3/3S/3.7/5]-B  
Unbuffered DDR2 SDRAM Module  
Product Type  
Organization  
256MB  
256MB  
512MB  
512MB  
×64  
×64  
×64  
×64  
1 Rank (×16) 1 Rank (×16) 1 Rank (×8) 1 Rank (×8)  
Label Code  
PC2– PC2– PC2– PC2–  
4200U–444 4200U–444 4200U–444 4200U–444  
JEDEC SPD Revision  
Rev. 1.2  
HEX  
Rev. 1.2  
HEX  
Rev. 1.2  
HEX  
Rev. 1.2  
HEX  
Byte#  
Description  
75  
76  
77  
78  
79  
80  
81  
82  
83  
84  
85  
86  
87  
88  
89  
90  
91  
92  
93  
94  
Product Type, Char 3  
54  
33  
32  
30  
30  
30  
48  
55  
33  
2E  
37  
42  
20  
20  
20  
20  
4x  
xx  
xx  
xx  
54  
33  
32  
39  
30  
30  
48  
55  
33  
2E  
37  
42  
20  
20  
20  
20  
2x  
xx  
xx  
xx  
54  
36  
34  
30  
30  
30  
48  
55  
33  
2E  
37  
42  
20  
20  
20  
20  
4x  
xx  
54  
36  
34  
39  
30  
30  
48  
55  
33  
2E  
37  
42  
20  
20  
20  
20  
2x  
xx  
Product Type, Char 4  
Product Type, Char 5  
Product Type, Char 6  
Product Type, Char 7  
Product Type, Char 8  
Product Type, Char 9  
Product Type, Char 10  
Product Type, Char 11  
Product Type, Char 12  
Product Type, Char 13  
Product Type, Char 14  
Product Type, Char 15  
Product Type, Char 16  
Product Type, Char 17  
Product Type, Char 18  
Module Revision Code  
Test Program Revision Code  
Module Manufacturing Date Year  
Module Manufacturing Date Week  
xx  
xx  
xx  
xx  
95 - 98 Module Serial Number  
99 - 127 Not used  
xx  
xx  
xx  
xx  
00  
FF  
00  
FF  
00  
FF  
00  
FF  
128 -  
255  
Blank for customer use  
Rev. 1.3, 2006-12  
69  
03292006-6GMD-RSFT  
Internet Data Sheet  
HYS[64/72]T[32/64/128]xx0HU-[25F/2.5/3/3S/3.7/5]-B  
Unbuffered DDR2 SDRAM Module  
TABLE 36  
SPD Codes for HYS[64/72]T[64/128]xx0HU–3.7–B  
Product Type  
Organization  
512MB  
1 GByte  
1 GByte  
1 GByte  
×72  
×64  
×64  
×72  
1 Rank (×8) 2 Ranks (×8) 2 Ranks (×8) 2 Ranks (×8)  
Label Code  
PC2– PC2– PC2– PC2–  
4200E–444 4200U–444 4200U–444 4200E–444  
JEDEC SPD Revision  
Rev. 1.2  
HEX  
Rev. 1.2  
HEX  
Rev. 1.2  
HEX  
Rev. 1.2  
HEX  
Byte#  
Description  
0
Programmed SPD Bytes in EEPROM  
Total number of Bytes in EEPROM  
Memory Type (DDR2)  
80  
08  
08  
0E  
0A  
60  
48  
00  
05  
3D  
50  
02  
82  
08  
08  
00  
0C  
04  
38  
01  
02  
00  
07  
80  
08  
08  
0E  
0A  
61  
40  
00  
05  
3D  
50  
00  
82  
08  
00  
00  
0C  
04  
38  
01  
02  
00  
07  
80  
08  
08  
0E  
0A  
61  
40  
00  
05  
3D  
50  
00  
82  
08  
00  
00  
0C  
04  
38  
01  
02  
00  
07  
80  
08  
08  
0E  
0A  
61  
48  
00  
05  
3D  
50  
02  
82  
08  
08  
00  
0C  
04  
38  
01  
02  
00  
07  
1
2
3
Number of Row Addresses  
Number of Column Addresses  
DIMM Rank and Stacking Information  
Data Width  
4
5
6
7
Not used  
8
Interface Voltage Level  
9
t
t
CK @ CLMAX (Byte 18) [ns]  
10  
11  
12  
13  
14  
15  
16  
17  
18  
19  
20  
21  
22  
AC SDRAM @ CLMAX (Byte 18) [ns]  
Error Correction Support (non-ECC, ECC)  
Refresh Rate and Type  
Primary SDRAM Width  
Error Checking SDRAM Width  
Not used  
Burst Length Supported  
Number of Banks on SDRAM Device  
Supported CAS Latencies  
DIMM Mechanical Characteristics  
DIMM Type Information  
DIMM Attributes  
Component Attributes  
Rev. 1.3, 2006-12  
70  
03292006-6GMD-RSFT  
Internet Data Sheet  
HYS[64/72]T[32/64/128]xx0HU-[25F/2.5/3/3S/3.7/5]-B  
Unbuffered DDR2 SDRAM Module  
Product Type  
Organization  
512MB  
1 GByte  
1 GByte  
1 GByte  
×72  
×64  
×64  
×72  
1 Rank (×8) 2 Ranks (×8) 2 Ranks (×8) 2 Ranks (×8)  
Label Code  
PC2– PC2– PC2– PC2–  
4200E–444 4200U–444 4200U–444 4200E–444  
JEDEC SPD Revision  
Rev. 1.2  
HEX  
Rev. 1.2  
HEX  
Rev. 1.2  
HEX  
Rev. 1.2  
HEX  
Byte#  
Description  
23  
24  
25  
26  
27  
28  
29  
30  
31  
32  
33  
34  
35  
36  
37  
38  
39  
40  
41  
42  
43  
44  
45  
46  
47  
48  
t
t
t
t
t
t
t
t
CK @ CLMAX -1 (Byte 18) [ns]  
AC SDRAM @ CLMAX -1 [ns]  
CK @ CLMAX -2 (Byte 18) [ns]  
AC SDRAM @ CLMAX -2 [ns]  
RP.MIN [ns]  
3D  
50  
50  
60  
3C  
1E  
3C  
2D  
80  
25  
37  
10  
22  
3C  
1E  
1E  
00  
00  
3C  
69  
80  
1E  
28  
00  
50  
7A  
3D  
50  
50  
60  
3C  
1E  
3C  
2D  
80  
25  
37  
10  
22  
3C  
1E  
1E  
00  
00  
3C  
69  
80  
1E  
28  
00  
50  
7A  
3D  
50  
50  
60  
3C  
1E  
3C  
2D  
80  
25  
37  
10  
22  
3C  
1E  
1E  
00  
00  
3C  
69  
80  
1E  
28  
00  
50  
7A  
3D  
50  
50  
60  
3C  
1E  
3C  
2D  
80  
25  
37  
10  
22  
3C  
1E  
1E  
00  
00  
3C  
69  
80  
1E  
28  
00  
50  
7A  
RRD.MIN [ns]  
RCD.MIN [ns]  
RAS.MIN [ns]  
Module Density per Rank  
t
t
t
t
t
t
t
AS.MIN and tCS.MIN [ns]  
AH.MIN and tCH.MIN [ns]  
DS.MIN [ns]  
DH.MIN [ns]  
WR.MIN [ns]  
WTR.MIN [ns]  
RTP.MIN [ns]  
Analysis Characteristics  
t
t
t
t
t
t
RC and tRFC Extension  
RC.MIN [ns]  
RFC.MIN [ns]  
CK.MAX [ns]  
DQSQ.MAX [ns]  
QHS.MAX [ns]  
PLL Relock Time  
CASE.MAX Delta / T4R4W Delta  
Psi(T-A) DRAM  
T
Rev. 1.3, 2006-12  
71  
03292006-6GMD-RSFT  
Internet Data Sheet  
HYS[64/72]T[32/64/128]xx0HU-[25F/2.5/3/3S/3.7/5]-B  
Unbuffered DDR2 SDRAM Module  
Product Type  
Organization  
512MB  
1 GByte  
1 GByte  
1 GByte  
×72  
×64  
×64  
×72  
1 Rank (×8) 2 Ranks (×8) 2 Ranks (×8) 2 Ranks (×8)  
Label Code  
PC2– PC2– PC2– PC2–  
4200E–444 4200U–444 4200U–444 4200E–444  
JEDEC SPD Revision  
Rev. 1.2  
HEX  
Rev. 1.2  
HEX  
Rev. 1.2  
HEX  
Rev. 1.2  
HEX  
Byte#  
Description  
49  
50  
51  
52  
53  
54  
55  
56  
57  
58  
59  
60  
61  
62  
63  
64  
65  
66  
67  
68  
69  
70  
71  
72  
73  
74  
T0 (DT0)  
43  
2C  
36  
21  
41  
2A  
40  
1E  
22  
00  
00  
00  
00  
12  
46  
7F  
7F  
7F  
7F  
7F  
51  
00  
00  
xx  
43  
2C  
36  
21  
41  
2A  
40  
1E  
22  
00  
00  
00  
00  
12  
35  
7F  
7F  
7F  
7F  
7F  
51  
00  
00  
xx  
43  
2C  
36  
21  
41  
2A  
40  
1E  
22  
00  
00  
00  
00  
12  
35  
7F  
7F  
7F  
7F  
7F  
51  
00  
00  
xx  
43  
2C  
36  
21  
41  
2A  
40  
1E  
22  
00  
00  
00  
00  
12  
47  
7F  
7F  
7F  
7F  
7F  
51  
00  
00  
xx  
T2N (DT2N, UDIMM) or T2Q (DT2Q, RDIMM)  
T2P (DT2P)  
T3N (DT3N)  
T3P.fast (DT3P fast)  
T3P.slow (DT3P slow)  
T4R (DT4R) / T4R4W Sign (DT4R4W)  
T5B (DT5B)  
T7 (DT7)  
Psi(ca) PLL  
Psi(ca) REG  
TPLL (DTPLL)  
TREG (DTREG) / Toggle Rate  
SPD Revision  
Checksum of Bytes 0-62  
Manufacturer’s JEDEC ID Code (1)  
Manufacturer’s JEDEC ID Code (2)  
Manufacturer’s JEDEC ID Code (3)  
Manufacturer’s JEDEC ID Code (4)  
Manufacturer’s JEDEC ID Code (5)  
Manufacturer’s JEDEC ID Code (6)  
Manufacturer’s JEDEC ID Code (7)  
Manufacturer’s JEDEC ID Code (8)  
Module Manufacturer Location  
Product Type, Char 1  
37  
32  
36  
34  
36  
34  
37  
32  
Product Type, Char 2  
Rev. 1.3, 2006-12  
72  
03292006-6GMD-RSFT  
Internet Data Sheet  
HYS[64/72]T[32/64/128]xx0HU-[25F/2.5/3/3S/3.7/5]-B  
Unbuffered DDR2 SDRAM Module  
Product Type  
Organization  
512MB  
1 GByte  
1 GByte  
1 GByte  
×72  
×64  
×64  
×72  
1 Rank (×8) 2 Ranks (×8) 2 Ranks (×8) 2 Ranks (×8)  
Label Code  
PC2– PC2– PC2– PC2–  
4200E–444 4200U–444 4200U–444 4200E–444  
JEDEC SPD Revision  
Rev. 1.2  
HEX  
Rev. 1.2  
HEX  
Rev. 1.2  
HEX  
Rev. 1.2  
HEX  
Byte#  
Description  
75  
76  
77  
78  
79  
80  
81  
82  
83  
84  
85  
86  
87  
88  
89  
90  
91  
92  
93  
94  
Product Type, Char 3  
54  
36  
34  
30  
30  
30  
48  
55  
33  
2E  
37  
42  
20  
20  
20  
20  
4x  
xx  
xx  
xx  
54  
31  
32  
38  
30  
32  
30  
48  
55  
33  
2E  
37  
42  
20  
20  
20  
4x  
xx  
xx  
xx  
54  
31  
32  
38  
39  
32  
30  
48  
55  
33  
2E  
37  
42  
20  
20  
20  
2x  
xx  
54  
31  
32  
38  
30  
32  
30  
48  
55  
33  
2E  
37  
42  
20  
20  
20  
4x  
xx  
Product Type, Char 4  
Product Type, Char 5  
Product Type, Char 6  
Product Type, Char 7  
Product Type, Char 8  
Product Type, Char 9  
Product Type, Char 10  
Product Type, Char 11  
Product Type, Char 12  
Product Type, Char 13  
Product Type, Char 14  
Product Type, Char 15  
Product Type, Char 16  
Product Type, Char 17  
Product Type, Char 18  
Module Revision Code  
Test Program Revision Code  
Module Manufacturing Date Year  
Module Manufacturing Date Week  
xx  
xx  
xx  
xx  
95 - 98 Module Serial Number  
99 - 127 Not used  
xx  
xx  
xx  
xx  
00  
FF  
00  
FF  
00  
FF  
00  
FF  
128 -  
255  
Blank for customer use  
Rev. 1.3, 2006-12  
73  
03292006-6GMD-RSFT  
Internet Data Sheet  
HYS[64/72]T[32/64/128]xx0HU-[25F/2.5/3/3S/3.7/5]-B  
Unbuffered DDR2 SDRAM Module  
TABLE 37  
SPD Codes for HYS[64/72]T[32/64/128]xxxHU–5–B  
Product Type  
Organization  
256MB  
512MB  
512MB  
1 GByte 1 GByte  
×64  
×64  
×72  
×64  
×72  
1 Rank  
1 Rank  
1 Rank  
2 Ranks 2 Ranks  
(×16)  
(×8)  
(×8)  
(×8)  
(×8)  
Label Code  
PC2–  
3200U–  
333  
PC2–  
3200U–  
333  
PC2–  
3200E–  
333  
PC2–  
3200U–  
333  
PC2–  
3200E–  
333  
JEDEC SPD Revision  
Rev. 1.2 Rev. 1.2 Rev. 1.2 Rev. 1.2 Rev. 1.2  
Byte#  
Description  
HEX  
HEX  
HEX  
HEX  
HEX  
0
Programmed SPD Bytes in EEPROM  
Total number of Bytes in EEPROM  
Memory Type (DDR2)  
80  
08  
08  
0D  
0A  
60  
40  
00  
05  
50  
60  
00  
82  
10  
00  
00  
0C  
04  
38  
01  
02  
00  
80  
08  
08  
0E  
0A  
60  
40  
00  
05  
50  
60  
00  
82  
08  
00  
00  
0C  
04  
38  
01  
02  
00  
80  
08  
08  
0E  
0A  
60  
48  
00  
05  
50  
60  
02  
82  
08  
08  
00  
0C  
04  
38  
01  
02  
00  
80  
08  
08  
0E  
0A  
61  
40  
00  
05  
50  
60  
00  
82  
08  
00  
00  
0C  
04  
38  
01  
02  
00  
80  
08  
08  
0E  
0A  
61  
48  
00  
05  
50  
60  
02  
82  
08  
08  
00  
0C  
04  
38  
01  
02  
00  
1
2
3
Number of Row Addresses  
Number of Column Addresses  
DIMM Rank and Stacking Information  
Data Width  
4
5
6
7
Not used  
8
Interface Voltage Level  
9
t
t
CK @ CLMAX (Byte 18) [ns]  
10  
11  
12  
13  
14  
15  
16  
17  
18  
19  
20  
21  
AC SDRAM @ CLMAX (Byte 18) [ns]  
Error Correction Support (non-ECC, ECC)  
Refresh Rate and Type  
Primary SDRAM Width  
Error Checking SDRAM Width  
Not used  
Burst Length Supported  
Number of Banks on SDRAM Device  
Supported CAS Latencies  
DIMM Mechanical Characteristics  
DIMM Type Information  
DIMM Attributes  
Rev. 1.3, 2006-12  
74  
03292006-6GMD-RSFT  
Internet Data Sheet  
HYS[64/72]T[32/64/128]xx0HU-[25F/2.5/3/3S/3.7/5]-B  
Unbuffered DDR2 SDRAM Module  
Product Type  
Organization  
256MB  
512MB  
512MB  
1 GByte 1 GByte  
×64  
×64  
×72  
×64  
×72  
1 Rank  
1 Rank  
1 Rank  
2 Ranks 2 Ranks  
(×16)  
(×8)  
(×8)  
(×8)  
(×8)  
Label Code  
PC2–  
3200U–  
333  
PC2–  
3200U–  
333  
PC2–  
3200E–  
333  
PC2–  
3200U–  
333  
PC2–  
3200E–  
333  
JEDEC SPD Revision  
Rev. 1.2 Rev. 1.2 Rev. 1.2 Rev. 1.2 Rev. 1.2  
Byte#  
Description  
HEX  
HEX  
HEX  
HEX  
HEX  
22  
23  
24  
25  
26  
27  
28  
29  
30  
31  
32  
33  
34  
35  
36  
37  
38  
39  
40  
41  
42  
43  
44  
45  
Component Attributes  
07  
50  
60  
50  
60  
3C  
28  
3C  
28  
40  
35  
47  
15  
27  
3C  
28  
1E  
00  
00  
37  
69  
80  
23  
2D  
07  
50  
60  
50  
60  
3C  
1E  
3C  
28  
80  
35  
47  
15  
27  
3C  
28  
1E  
00  
00  
37  
69  
80  
23  
2D  
07  
50  
60  
50  
60  
3C  
1E  
3C  
28  
80  
35  
47  
15  
27  
3C  
28  
1E  
00  
00  
37  
69  
80  
23  
2D  
07  
50  
60  
50  
60  
3C  
1E  
3C  
28  
80  
35  
47  
15  
27  
3C  
28  
1E  
00  
00  
37  
69  
80  
23  
2D  
07  
50  
60  
50  
60  
3C  
1E  
3C  
28  
80  
35  
47  
15  
27  
3C  
28  
1E  
00  
00  
37  
69  
80  
23  
2D  
t
t
t
t
t
t
t
t
CK @ CLMAX -1 (Byte 18) [ns]  
AC SDRAM @ CLMAX -1 [ns]  
CK @ CLMAX -2 (Byte 18) [ns]  
AC SDRAM @ CLMAX -2 [ns]  
RP.MIN [ns]  
RRD.MIN [ns]  
RCD.MIN [ns]  
RAS.MIN [ns]  
Module Density per Rank  
t
t
t
t
t
t
t
AS.MIN and tCS.MIN [ns]  
AH.MIN and tCH.MIN [ns]  
DS.MIN [ns]  
DH.MIN [ns]  
WR.MIN [ns]  
WTR.MIN [ns]  
RTP.MIN [ns]  
Analysis Characteristics  
t
t
t
t
t
t
RC and tRFC Extension  
RC.MIN [ns]  
RFC.MIN [ns]  
CK.MAX [ns]  
DQSQ.MAX [ns]  
QHS.MAX [ns]  
Rev. 1.3, 2006-12  
75  
03292006-6GMD-RSFT  
Internet Data Sheet  
HYS[64/72]T[32/64/128]xx0HU-[25F/2.5/3/3S/3.7/5]-B  
Unbuffered DDR2 SDRAM Module  
Product Type  
Organization  
256MB  
512MB  
512MB  
1 GByte 1 GByte  
×64  
×64  
×72  
×64  
×72  
1 Rank  
1 Rank  
1 Rank  
2 Ranks 2 Ranks  
(×16)  
(×8)  
(×8)  
(×8)  
(×8)  
Label Code  
PC2–  
3200U–  
333  
PC2–  
3200U–  
333  
PC2–  
3200E–  
333  
PC2–  
3200U–  
333  
PC2–  
3200E–  
333  
JEDEC SPD Revision  
Rev. 1.2 Rev. 1.2 Rev. 1.2 Rev. 1.2 Rev. 1.2  
Byte#  
Description  
HEX  
HEX  
HEX  
HEX  
HEX  
46  
47  
48  
49  
50  
51  
52  
53  
54  
55  
56  
57  
58  
59  
60  
61  
62  
63  
64  
65  
66  
67  
68  
69  
PLL Relock Time  
00  
54  
72  
4B  
25  
33  
1C  
34  
27  
3E  
1B  
30  
00  
00  
00  
00  
12  
6D  
7F  
7F  
7F  
7F  
7F  
51  
00  
50  
7A  
3B  
27  
36  
1E  
38  
2A  
38  
1D  
21  
00  
00  
00  
00  
12  
8B  
7F  
7F  
7F  
7F  
7F  
51  
00  
50  
7A  
3B  
27  
36  
1E  
38  
2A  
38  
1D  
21  
00  
00  
00  
00  
12  
9D  
7F  
7F  
7F  
7F  
7F  
51  
00  
50  
7A  
3B  
27  
36  
1E  
38  
2A  
38  
1D  
21  
00  
00  
00  
00  
12  
8C  
7F  
7F  
7F  
7F  
7F  
51  
00  
50  
7A  
3B  
27  
36  
1E  
38  
2A  
38  
1D  
21  
00  
00  
00  
00  
12  
9E  
7F  
7F  
7F  
7F  
7F  
51  
TCASE.MAX Delta / T4R4W Delta  
Psi(T-A) DRAM  
T0 (DT0)  
T2N (DT2N, UDIMM) or T2Q (DT2Q, RDIMM)  
T2P (DT2P)  
T3N (DT3N)  
T3P.fast (DT3P fast)  
T3P.slow (DT3P slow)  
T4R (DT4R) / T4R4W Sign (DT4R4W)  
T5B (DT5B)  
T7 (DT7)  
Psi(ca) PLL  
Psi(ca) REG  
TPLL (DTPLL)  
TREG (DTREG) / Toggle Rate  
SPD Revision  
Checksum of Bytes 0-62  
Manufacturer’s JEDEC ID Code (1)  
Manufacturer’s JEDEC ID Code (2)  
Manufacturer’s JEDEC ID Code (3)  
Manufacturer’s JEDEC ID Code (4)  
Manufacturer’s JEDEC ID Code (5)  
Manufacturer’s JEDEC ID Code (6)  
Rev. 1.3, 2006-12  
76  
03292006-6GMD-RSFT  
Internet Data Sheet  
HYS[64/72]T[32/64/128]xx0HU-[25F/2.5/3/3S/3.7/5]-B  
Unbuffered DDR2 SDRAM Module  
Product Type  
Organization  
256MB  
512MB  
512MB  
1 GByte 1 GByte  
×64  
×64  
×72  
×64  
×72  
1 Rank  
1 Rank  
1 Rank  
2 Ranks 2 Ranks  
(×16)  
(×8)  
(×8)  
(×8)  
(×8)  
Label Code  
PC2–  
3200U–  
333  
PC2–  
3200U–  
333  
PC2–  
3200E–  
333  
PC2–  
3200U–  
333  
PC2–  
3200E–  
333  
JEDEC SPD Revision  
Rev. 1.2 Rev. 1.2 Rev. 1.2 Rev. 1.2 Rev. 1.2  
Byte#  
Description  
HEX  
HEX  
HEX  
HEX  
HEX  
70  
71  
72  
73  
74  
75  
76  
77  
78  
79  
80  
81  
82  
83  
84  
85  
86  
87  
88  
89  
90  
91  
92  
93  
Manufacturer’s JEDEC ID Code (7)  
Manufacturer’s JEDEC ID Code (8)  
Module Manufacturer Location  
Product Type, Char 1  
00  
00  
xx  
36  
34  
54  
33  
32  
30  
30  
30  
48  
55  
35  
42  
20  
20  
20  
20  
20  
20  
4x  
xx  
xx  
00  
00  
xx  
36  
34  
54  
36  
34  
30  
30  
30  
48  
55  
35  
42  
20  
20  
20  
20  
20  
20  
4x  
xx  
xx  
00  
00  
xx  
37  
32  
54  
36  
34  
30  
30  
30  
48  
55  
35  
42  
20  
20  
20  
20  
20  
20  
4x  
xx  
xx  
00  
00  
xx  
36  
34  
54  
31  
32  
38  
30  
32  
30  
48  
55  
35  
42  
20  
20  
20  
20  
20  
4x  
xx  
xx  
00  
00  
xx  
37  
32  
54  
31  
32  
38  
30  
32  
30  
48  
55  
35  
42  
20  
20  
20  
20  
20  
4x  
xx  
xx  
Product Type, Char 2  
Product Type, Char 3  
Product Type, Char 4  
Product Type, Char 5  
Product Type, Char 6  
Product Type, Char 7  
Product Type, Char 8  
Product Type, Char 9  
Product Type, Char 10  
Product Type, Char 11  
Product Type, Char 12  
Product Type, Char 13  
Product Type, Char 14  
Product Type, Char 15  
Product Type, Char 16  
Product Type, Char 17  
Product Type, Char 18  
Module Revision Code  
Test Program Revision Code  
Module Manufacturing Date Year  
Rev. 1.3, 2006-12  
77  
03292006-6GMD-RSFT  
Internet Data Sheet  
HYS[64/72]T[32/64/128]xx0HU-[25F/2.5/3/3S/3.7/5]-B  
Unbuffered DDR2 SDRAM Module  
Product Type  
Organization  
256MB  
512MB  
512MB  
1 GByte 1 GByte  
×64  
×64  
×72  
×64  
×72  
1 Rank  
1 Rank  
1 Rank  
2 Ranks 2 Ranks  
(×16)  
(×8)  
(×8)  
(×8)  
(×8)  
Label Code  
PC2–  
3200U–  
333  
PC2–  
3200U–  
333  
PC2–  
3200E–  
333  
PC2–  
3200U–  
333  
PC2–  
3200E–  
333  
JEDEC SPD Revision  
Rev. 1.2 Rev. 1.2 Rev. 1.2 Rev. 1.2 Rev. 1.2  
Byte#  
Description  
HEX  
HEX  
HEX  
HEX  
HEX  
94  
Module Manufacturing Date Week  
xx  
xx  
00  
FF  
xx  
xx  
00  
FF  
xx  
xx  
00  
FF  
xx  
xx  
00  
FF  
xx  
xx  
00  
FF  
95 - 98 Module Serial Number  
99 - 127 Not used  
128 -  
255  
Blank for customer use  
Rev. 1.3, 2006-12  
78  
03292006-6GMD-RSFT  
                                                                                                                                   
                                                                                                                                     
                                                                                                                                      
                                                                                                                                       
                                                                                
                                                                                 
                                                                                  
                                                                                   
ꢂꢀ  
ꢂꢈꢁꢀ  
                                                                                                                   
                                                                                                                    
                                                                                
ꢁꢒ  
                                                                                                                               
“ꢁꢒꢂꢀ  
ꢂꢒꢈꢅꢀ  
                                                                                                                                                                             
                                                                                                                                                                               
                                                                                                                                   
                                                                                                                                    
                                                               
ꢃꢃꢀ  
                                                                                                      
ꢄꢀ  
“ꢁꢒꢂꢀ  
ꢂꢒꢃꢀ  
                                                                                                         
                                                                                                           
                                                                               
                                          
                                           
ꢈꢉꢁꢀ  
                                                                                                                   
                                                                                                                    
ꢂꢀ  
ꢐꢄꢑꢀ  
                                             
'
                                          
H
                                           
                                            
                                             
                                             
                                              
R
                                               
                                                
FR  
ꢂꢀ  
                                                 
                                                  
                                                   
                                                   
                                                    
                                                     
                                                     
WD  
L
Oꢀ  
Iꢀ  
Q
WD  
FWVꢀ  
“
ꢁꢒꢋꢀ  
                                                                                  
ꢁꢒꢁꢃꢀ  
                                                                                   
                                                                                    
                                                             
ꢁꢒꢂ$ %ꢀ &ꢀ  
                                                                       
%
                                           
                                            
                                             
                                              
PD  
                                               
                                                
                                                  
ꢒꢉ  
                                                   
                                                     
D
                                                      
                                                      
                                                       
                                                        
                                                         
                                                          
X
UU  
[ꢒꢀꢁ  
O
O
RZHGꢀ  
*
                                                                                                                                                                           
                                                                                                                                                                            
'ꢁꢆꢊ  
                                                                                                                                                                             
                                                                                                                                                                              
                                                                                                                                                                               
                                                                                                                                                                                
ꢃꢉꢀ  
                                                                                                                                                                                 
/
Internet Data Sheet  
HYS[64/72]T[32/64/128]xx0HU-[25F/2.5/3/3S/3.7/5]-B  
Unbuffered DDR2 SDRAM Module  
5
Package Outlines  
This chapter contains the Package Outline tables.  
FIGURE 6  
Package Outline Raw Card C L-DIM-240-3  
                                                                                
                                                                                 
ꢄꢒꢄ  
ꢋꢒꢆ  
                                                                                  
                                                                                   
ꢃꢀ  
ꢃꢀ  
ꢈꢒꢅ  
0$;ꢒꢀ  
ꢉꢀ  
ꢈꢒꢃꢀ  
&ꢀ  
ꢉꢀ  
ꢃꢀ  
$ꢀ  
%ꢀ  
Notes  
1. Drawing according to ISO 8015  
2. Dimensions in mm  
3. General tolerances +/- 0.15  
Rev. 1.3, 2006-12  
79  
03292006-6GMD-RSFT  
Internet Data Sheet  
HYS[64/72]T[32/64/128]xx0HU-[25F/2.5/3/3S/3.7/5]-B  
Unbuffered DDR2 SDRAM Module  
FIGURE 7  
Package Outline Raw Card D L-DIM-240-8  
ꢂꢄꢄꢒꢄꢃ  
ꢈꢒꢅ 0$;ꢒ  
“ꢁꢒꢂ  
ꢂꢈꢋꢒꢆꢃ  
ꢂꢈꢁ  
“ꢁꢒꢂ  
&
“ꢁꢒꢂ  
“ꢁꢒꢂ  
ꢈꢒꢃ  
ꢁꢒꢄ  
“ꢁꢒꢂ  
ꢂꢒꢈꢅ  
“ꢁꢒꢂ  
“ꢁꢒꢂ  
ꢃꢃ  
ꢊꢄ  
$
“ꢁꢒꢂ  
ꢂꢒꢃ  
ꢂꢈꢂ  
ꢈꢉꢁ  
%
 0,1ꢒ  
'HWDLO RI FRQWDFWV  
“ꢁꢒꢈ  
ꢁꢒꢋ  
ꢁꢒꢂ $ % &  
%XUU PD[ꢒ ꢁꢒꢉ DOORZHG  
*/'ꢁꢂꢁꢄꢋ  
Notes  
1. Drawing according to ISO 8015  
2. Dimensions in mm  
3. General tolerances +/- 0.15  
Rev. 1.3, 2006-12  
80  
03292006-6GMD-RSFT  
Internet Data Sheet  
HYS[64/72]T[32/64/128]xx0HU-[25F/2.5/3/3S/3.7/5]-B  
Unbuffered DDR2 SDRAM Module  
FIGURE 8  
Package Outline Raw Card E L-DIM-240-9  
ꢂꢄꢄꢒꢄꢃ  
 0$;ꢒ  
“ꢁꢒꢂ  
ꢂꢈꢋꢒꢆꢃ  
ꢂꢈꢁ  
“ꢁꢒꢂ  
&
“ꢁꢒꢂ  
“ꢁꢒꢂ  
ꢈꢒꢃ  
ꢁꢒꢄ  
“ꢁꢒꢂ  
ꢂꢒꢈꢅ  
“ꢁꢒꢂ  
“ꢁꢒꢂ  
ꢃꢃ  
ꢊꢄ  
$
“ꢁꢒꢂ  
ꢂꢒꢃ  
ꢂꢈꢂ  
ꢈꢉꢁ  
%
 0,1ꢒ  
'HWDLO RI FRQWDFWV  
“ꢁꢒꢈ  
ꢁꢒꢋ  
ꢁꢒꢂ $ % &  
%XUU PD[ꢒ ꢁꢒꢉ DOORZHG  
*/'ꢁꢂꢁꢄꢆ  
Notes  
1. Drawing according to ISO 8015  
2. Dimensions in mm  
3. General tolerances +/- 0.15  
Rev. 1.3, 2006-12  
81  
03292006-6GMD-RSFT  
Internet Data Sheet  
HYS[64/72]T[32/64/128]xx0HU-[25F/2.5/3/3S/3.7/5]-B  
Unbuffered DDR2 SDRAM Module  
FIGURE 9  
Package Outline Raw Card F L-DIM-240-6  
ꢂꢄꢄꢒꢄꢃ  
ꢈꢒꢅ 0$;ꢒ  
“ꢁꢒꢂ  
ꢂꢈꢋꢒꢆꢃ  
ꢂꢈꢁ  
“ꢁꢒꢂ  
&
“ꢁꢒꢂ  
“ꢁꢒꢂ  
ꢈꢒꢃ  
ꢁꢒꢄ  
“ꢁꢒꢂ  
ꢂꢒꢈꢅ  
“ꢁꢒꢂ  
“ꢁꢒꢂ  
ꢊꢄ  
ꢃꢃ  
$
“ꢁꢒꢂ  
ꢂꢒꢃ  
ꢂꢈꢂ  
ꢈꢉꢁ  
%
 0,1ꢒ  
'HWDLO RI FRQWDFWV  
“ꢁꢒꢈ  
ꢁꢒꢋ  
ꢁꢒꢂ $ % &  
%XUU PD[ꢒ ꢁꢒꢉ DOORZHG  
*/'ꢁꢂꢁꢄꢈ  
Notes  
1. Drawing according to ISO 8015  
2. Dimensions in mm  
3. General tolerances +/- 0.15  
Rev. 1.3, 2006-12  
82  
03292006-6GMD-RSFT  
Internet Data Sheet  
HYS[64/72]T[32/64/128]xx0HU-[25F/2.5/3/3S/3.7/5]-B  
Unbuffered DDR2 SDRAM Module  
FIGURE 10  
Package Outline Raw Card G L-DIM-240-7  
ꢂꢄꢄꢒꢄꢃ  
 0$;ꢒ  
“ꢁꢒꢂ  
ꢂꢈꢋꢒꢆꢃ  
ꢂꢈꢁ  
“ꢁꢒꢂ  
&
“ꢁꢒꢂ  
“ꢁꢒꢂ  
ꢈꢒꢃ  
ꢁꢒꢉ  
“ꢁꢒꢂ  
ꢂꢒꢈꢅ  
“ꢁꢒꢂ  
“ꢁꢒꢂ  
ꢊꢄ  
ꢃꢃ  
$
“ꢁꢒꢂ  
ꢂꢒꢃ  
ꢂꢈꢂ  
ꢈꢉꢁ  
%
 0,1ꢒ  
'HWDLO RI FRQWDFWV  
“ꢁꢒꢈ  
ꢁꢒꢋ  
ꢁꢒꢂ $ % &  
%XUU PD[ꢒ ꢁꢒꢉ DOORZHG  
*/'ꢁꢂꢁꢄꢅ  
Notes  
1. Drawing according to ISO 8015  
2. Dimensions in mm  
3. General tolerances +/- 0.15  
Rev. 1.3, 2006-12  
83  
03292006-6GMD-RSFT  
Internet Data Sheet  
HYS[64/72]T[32/64/128]xx0HU-[25F/2.5/3/3S/3.7/5]-B  
Unbuffered DDR2 SDRAM Module  
6
Product Type Nomenclature  
Qimonda’s nomenclature uses simple coding combined with  
some propriatory coding. Table 38 provides examples for  
module and component product type number as well as the  
field number. The detailed field description together with  
possible values and coding explanation is listed for modules  
in Table 39 and for components in Table 40.  
TABLE 38  
Nomenclature Fields and Examples  
Example for  
Field Number  
1
2
3
4
5
6
7
8
9
10  
11  
Micro-DIMM  
DDR2 DRAM  
HYS  
HYB  
64  
18  
T
T
64/128  
0
2
0
0
K
A
M
C
–5  
–5  
–A  
512/1G 16  
TABLE 39  
DDR2 DIMM Nomenclature  
Field  
Description  
Values  
Coding  
1
2
Qimonda Module Prefix  
Module Data Width [bit]  
HYS  
64  
Constant  
Non-ECC  
ECC  
72  
3
4
DRAM Technology  
T
DDR2  
Memory Density per I/O [Mbit];  
Module Density1)  
32  
256 MByte  
512 MByte  
1 GByte  
2 GByte  
4 GByte  
64  
128  
256  
512  
0 .. 9  
0, 2, 4  
0 .. 9  
A .. Z  
D
5
6
7
8
9
Raw Card Generation  
Number of Module Ranks  
Product Variations  
Look up table  
1, 2, 4  
Look up table  
Look up table  
SO-DIMM  
Package, Lead-Free Status  
Module Type  
M
Micro-DIMM  
Registered  
Unbuffered  
Fully Buffered  
R
U
F
Rev. 1.3, 2006-12  
84  
03292006-6GMD-RSFT  
Internet Data Sheet  
HYS[64/72]T[32/64/128]xx0HU-[25F/2.5/3/3S/3.7/5]-B  
Unbuffered DDR2 SDRAM Module  
Field  
Description  
Values  
Coding  
10  
Speed Grade  
–2.5F  
–2.5  
–3  
PC2–6400 5–5–5  
PC2–6400 6–6–6  
PC2–5300 4–4–4  
PC2–5300 5–5–5  
PC2–4200 4–4–4  
PC2–3200 3–3–3  
First  
–3S  
–3.7  
–5  
11  
Die Revision  
–A  
–B  
Second  
1) Multiplying “Memory Density per I/O” with “Module Data Width” and dividing by 8 for Non-ECC and 9 for ECC modules gives the overall  
module memory density in MBytes as listed in column “Coding”.  
TABLE 40  
DDR2 DRAM Nomenclature  
Field  
Description  
Values  
Coding  
1
2
3
4
Qimonda Component Prefix  
Interface Voltage [V]  
HYB  
18  
Constant  
SSTL_18  
DRAM Technology  
T
DDR2  
Component Density [Mbit]  
256  
512  
1G  
2G  
40  
256 Mbit  
512 Mbit  
1 Gbit  
2 Gbit  
5+6  
Number of I/Os  
×4  
80  
×8  
16  
×16  
7
8
Product Variations  
Die Revision  
0 .. 9  
A
Look up table  
First  
B
Second  
9
Package, Lead-Free Status  
Speed Grade  
C
FBGA, lead-containing  
FBGA, lead-free  
DDR2-800 5-5-5  
DDR2-800 6-6-6  
DDR2-667 4-4-4  
DDR2-667 5-5-5  
DDR2-533 4-4-4  
DDR2-400 3-3-3  
F
10  
–25F  
–2.5  
–3  
–3S  
–3.7  
–5  
Rev. 1.3, 2006-12  
85  
03292006-6GMD-RSFT  
Internet Data Sheet  
HYS[64/72]T[32/64/128]xx0HU-[25F/2.5/3/3S/3.7/5]-B  
Unbuffered DDR2 SDRAM Module  
Table of Contents  
1
1.1  
1.2  
Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3  
Features . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3  
Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4  
2
Pin Configuration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7  
2.1  
Pin Configuration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7  
3
3.1  
3.2  
3.3  
3.3.1  
3.3.2  
3.3.3  
3.4  
Electrical Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16  
Absolute Maximum Ratings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16  
DC Operating Conditions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17  
Timing Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18  
Speed Grade Definitions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18  
Component AC Timing Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 20  
ODT AC Electrical Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 33  
IDD Specifications and Conditions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 35  
4
5
6
SPD Codes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 43  
Package Outlines . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 79  
Product Type Nomenclature . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 84  
Table of Contents . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 86  
Rev. 1.3, 2006-12  
86  
03292006-6GMD-RSFT  
Internet Data Sheet  
Edition 2006-12  
Published by Qimonda AG  
Gustav-Heinemann-Ring 212  
D-81739 München, Germany  
© Qimonda AG 2006.  
All Rights Reserved.  
Legal Disclaimer  
The information given in this Internet Data Sheet shall in no event be regarded as a guarantee of conditions or characteristics  
(“Beschaffenheitsgarantie”). With respect to any examples or hints given herein, any typical values stated herein and/or any  
information regarding the application of the device, Qimonda hereby disclaims any and all warranties and liabilities of any kind,  
including without limitation warranties of non-infringement of intellectual property rights of any third party.  
Information  
For further information on technology, delivery terms and conditions and prices please contact your nearest Qimonda Office.  
Warnings  
Due to technical requirements components may contain dangerous substances. For information on the types in question please  
contact your nearest Qimonda Office.  
Under no circumstances may the Qimonda product as referred to in this Internet Data Sheet be used in  
1. Any applications that are intended for military usage (including but not limited to weaponry), or  
2. Any applications, devices or systems which are safety critical or serve the purpose of supporting, maintaining, sustaining  
or protecting human life (such applications, devices and systems collectively referred to as "Critical Systems"), if  
a) A failure of the Qimonda product can reasonable be expected to - directly or indirectly -  
(i) Have a detrimental effect on such Critical Systems in terms of reliability, effectiveness or safety; or  
(ii) Cause the failure of such Critical Systems; or  
b) A failure or malfunction of such Critical Systems can reasonably be expected to - directly or indirectly -  
(i) Endanger the health or the life of the user of such Critical Systems or any other person; or  
(ii) Otherwise cause material damages (including but not limited to death, bodily injury or significant damages to  
property, whether tangible or intangible).  
www.qimonda.com  

相关型号:

HYS64T32900HU-3S-A

240-Pin Unbuffered DDR2 SDRAM Modules
QIMONDA

HYS64T32900HU-3S-B

240-Pin unbuffered DDR2 SDRAM Modules
QIMONDA

HYS64T32X00EDL

200-Pin SO-DIMM DDR2 SDRAM Modules
QIMONDA

HYS64T32X00EDL_1

200-Pin SO-DIMM DDR2 SDRAM Modules
QIMONDA

HYS64T32X00HDL

200 Pin Small-Outlined DDR2 SDRAMs Modules
QIMONDA

HYS64T32X00HDL_07

200 Pin Small-Outlined DDR2 SDRAMs Modules
QIMONDA

HYS64T512622EDL-3-A

DDR DRAM Module, 512MX64, CMOS, GREEN, SODIMM-200
QIMONDA

HYS64T64000EU-2.5-B2

240-Pin unbuffered DDR2 SDRAM Modules
QIMONDA

HYS64T64000EU-25F-B2

240-Pin unbuffered DDR2 SDRAM Modules
QIMONDA

HYS64T64000EU-3-B2

240-Pin unbuffered DDR2 SDRAM Modules
QIMONDA

HYS64T64000EU-3.7-B2

240-Pin unbuffered DDR2 SDRAM Modules
QIMONDA

HYS64T64000EU-3S-B2

240-Pin unbuffered DDR2 SDRAM Modules
QIMONDA