TMS320DM6433ZWTL [TI]

Digital Media Processor; 数字媒体处理器
TMS320DM6433ZWTL
型号: TMS320DM6433ZWTL
厂家: TEXAS INSTRUMENTS    TEXAS INSTRUMENTS
描述:

Digital Media Processor
数字媒体处理器

文件: 总271页 (文件大小:2268K)
中文:  中文翻译
下载:  下载PDF数据表文档文件
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
1 TMS320DM6433 Digital Media Processor  
1.1 Features  
256K-Bit (32K-Byte) L1P Program  
RAM/Cache [Flexible Allocation]  
640K-Bit (80K-Byte) L1D Data RAM/Cache  
[Flexible Allocation]  
1M-Bit (128K-Byte) L2 Unified Mapped  
RAM/Cache [Flexible Allocation]  
High-Performance Digital Media Processor  
(DM6433)  
2.5-, 2-, 1.67-, 1.51-, 1.43-ns ns Instruction  
Cycle Time  
400-, 500, -600-, 660-, 700-MHz C64x+™  
Clock Rate  
Supports Little Endian Mode Only  
Video Processing Subsystem (VPSS)  
Eight 32-Bit C64x+ Instructions/Cycle  
3200, 4000, 4800, 5280, 5600 MIPS  
Fully Software-Compatible With C64x  
Commercial and Automotive (Q or S suffix)  
Grades  
Front End Provides (Resizer Only):  
Resize Images From 1/4x to 4x  
Separate Horizontal and Vertical Control  
Low-Power Device (L suffix)  
Back End Provides:  
VelociTI.2™ Extensions to VelociTI™  
Advanced Very-Long-Instruction-Word (VLIW)  
TMS320C64x+™ DSP Core  
Hardware On-Screen Display (OSD)  
Four 54-MHz DACs for a Combination of  
Composite NTSC/PAL Video  
Luma/Chroma Separate Video  
(S-video)  
Eight Highly Independent Functional Units  
With VelociTI.2 Extensions:  
Six ALUs (32-/40-Bit), Each Supports  
Single 32-Bit, Dual 16-Bit, or Quad 8-Bit  
Arithmetic per Clock Cycle  
Component (YPbPr or RGB) Video  
(Progressive)  
Digital Output  
Two Multipliers Support Four 16 x 16-Bit  
Multiplies (32-Bit Results) per Clock  
Cycle or Eight 8 x 8-Bit Multiplies (16-Bit  
Results) per Clock Cycle  
8-/16-bit YUV or up to 24-Bit RGB  
HD Resolution  
Up to 2 Video Windows  
External Memory Interfaces (EMIFs)  
Load-Store Architecture With Non-Aligned  
Support  
64 32-Bit General-Purpose Registers  
Instruction Packing Reduces Code Size  
All Instructions Conditional  
32-Bit DDR2 SDRAM Memory Controller  
With 256M-Byte Address Space (1.8-V I/O)  
Supports up to 333-MHz (data rate) bus  
and interfaces to DDR2-400 SDRAM  
Asynchronous 8-Bit Wide EMIF (EMIFA)  
With up to 64M-Byte Address Reach  
Additional C64x+™ Enhancements  
Protected Mode Operation  
Exceptions Support for Error Detection  
and Program Redirection  
Flash Memory Interfaces  
NOR (8-Bit-Wide Data)  
NAND (8-Bit-Wide Data)  
Hardware Support for Modulo Loop  
Auto-Focus Module Operation  
Enhanced Direct-Memory-Access (EDMA)  
Controller (64 Independent Channels)  
C64x+ Instruction Set Features  
Two 64-Bit General-Purpose Timers (Each  
Configurable as Two 32-Bit Timers)  
Byte-Addressable (8-/16-/32-/64-Bit Data)  
8-Bit Overflow Protection  
Bit-Field Extract, Set, Clear  
Normalization, Saturation, Bit-Counting  
VelociTI.2 Increased Orthogonality  
C64x+ Extensions  
One 64-Bit Watch Dog Timer  
One UART With RTS and CTS Flow Control  
Master/Slave Inter-Integrated Circuit (I2C  
Bus™)  
Compact 16-bit Instructions  
Additional Instructions to Support  
Complex Multiplies  
One Multichannel Buffered Serial Port  
(McBSP0)  
I2S and TDM  
AC97 Audio Codec Interface  
C64x+ L1/L2 Memory Architecture  
Please be aware that an important notice concerning availability, standard warranty, and use in critical applications of Texas  
Instruments semiconductor products and disclaimers thereto appears at the end of this document.  
All trademarks are the property of their respective owners.  
PRODUCTION DATA information is current as of publication date.  
Products conform to specifications per the terms of the Texas  
Instruments standard warranty. Production processing does not  
necessarily include testing of all parameters.  
Copyright © 2006–2008, Texas Instruments Incorporated  
 
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
SPI  
Flexible PLL Clock Generators  
Standard Voice Codec Interface (AIC12)  
Telecom Interfaces – ST-Bus, H-100  
128 Channel Mode  
IEEE-1149.1 (JTAG™)  
Boundary-Scan-Compatible  
Up to 111 General-Purpose I/O (GPIO) Pins  
(Multiplexed With Other Device Functions)  
Multichannel Audio Serial Port (McASP0)  
Four Serializers and SPDIF (DIT) Mode  
Packages:  
16-Bit Host-Port Interface (HPI)  
361-Pin Pb-Free PBGA Package  
(ZWT Suffix), 0.8-mm Ball Pitch  
376-Pin Plastic BGA Package  
(ZDU Suffix), 1.0-mm Ball Pitch  
32-Bit 33-MHz, 3.3-V Peripheral Component  
Interconnect (PCI) Master/Slave Interface  
10/100 Mb/s Ethernet MAC (EMAC)  
0.09-µm/6-Level Cu Metal Process (CMOS)  
IEEE 802.3 Compliant  
Supports Media Independent Interface (MII)  
Management Data I/O (MDIO) Module  
3.3-V and 1.8-V I/O, 1.2-V Internal  
(-7/-6/-5/-4/-L/-Q6/-Q5/-Q4)  
3.3-V and 1.8-V I/O, 1.05-V Internal  
(-7/-6/-5/-4/-L/-Q5)  
VLYNQ™ Interface (FPGA Interface)  
Three Pulse Width Modulator (PWM) Outputs  
On-Chip ROM Bootloader  
Applications:  
Digital Media  
Networked Media Decode  
Individual Power-Savings Modes  
1.2 Description  
The TMS320C64x+™ DSPs (including the TMS320DM6433 device) are the highest-performance  
fixed-point DSP generation in the TMS320C6000™ DSP platform. The DM6433 device is based on the  
third-generation high-performance, advanced VelociTI™ very-long-instruction-word (VLIW) architecture  
developed by Texas Instruments (TI), making these DSPs an excellent choice for digital media  
applications. The C64x+™ devices are upward code-compatible from previous devices that are part of the  
C6000™ DSP platform. The C64x™ DSPs support added functionality and have an expanded instruction  
set from previous devices.  
Any reference to the C64x DSP or C64x CPU also applies, unless otherwise noted, to the C64x+ DSP and  
C64x+ CPU, respectively.  
With performance of up to 5600 million instructions per second (MIPS) at a clock rate of 700 MHz, the  
C64x+ core offers solutions to high-performance DSP programming challenges. The DSP core possesses  
the operational flexibility of high-speed controllers and the numerical capability of array processors. The  
C64x+ DSP core processor has 64 general-purpose registers of 32-bit word length and eight highly  
independent functional units—two multipliers for a 32-bit result and six arithmetic logic units (ALUs). The  
eight functional units include instructions to accelerate the performance in video and imaging applications.  
The DSP core can produce four 16-bit multiply-accumulates (MACs) per cycle for a total of 2800 million  
MACs per second (MMACS), or eight 8-bit MACs per cycle for a total of 5600 MMACS. For more details  
on the C64x+ DSP, see the TMS320C64x/C64x+ DSP CPU and Instruction Set Reference Guide  
(literature number SPRU732).  
The DM6433 also has application-specific hardware logic, on-chip memory, and additional on-chip  
peripherals similar to the other C6000 DSP platform devices. The DM6433 core uses a two-level  
cache-based architecture. The Level 1 program memory/cache (L1P) consists of a 256K-bit memory  
space that can be configured as mapped memory or direct mapped cache, and the Level 1 data (L1D)  
consists of a 640K-bit memory space —384K-bit of which is mapped memory and 256K-bit of which can  
be configured as mapped memory or 2-way set-associative cache. The Level 2 memory/cache (L2)  
consists of a 1M-bit memory space that is shared between program and data space. L2 memory can be  
configured as mapped memory, cache, or combinations of the two.  
2
TMS320DM6433 Digital Media Processor  
Submit Documentation Feedback  
 
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
The peripheral set includes: 1 configurable video port; a 10/100 Mb/s Ethernet MAC (EMAC) with a  
management data input/output (MDIO) module; a 4-bit transmit, 4-bit receive VLYNQ interface; an  
inter-integrated circuit (I2C) Bus interface; a multichannel buffered serial port (McBSP0); a multichannel  
audio serial port (McASP0) with 4 serializers; 2 64-bit general-purpose timers each configurable as  
2 independent 32-bit timers; 1 64-bit watchdog timer; a user-configurable 16-bit host-port interface (HPI);  
up to 111-pins of general-purpose input/output (GPIO) with programmable interrupt/event generation  
modes, multiplexed with other peripherals; a UART with hardware handshaking support; 3 pulse width  
modulator (PWM) peripherals; 1 peripheral component interconnect (PCI) [33 MHz]; and 2 glueless  
external memory interfaces: an asynchronous external memory interface (EMIFA) for slower  
memories/peripherals, and a higher speed synchronous memory interface for DDR2.  
The DM6433 device includes a Video Processing Subsystem (VPSS) with a Video Processing Back-End  
(VPBE) output.  
The Video Processing Back-End (VPBE) is comprised of an On-Screen Display Engine (OSD) and a  
Video Encoder (VENC). The OSD engine is capable of handling 2 separate video windows and 2 separate  
OSD windows. Other configurations include 2 video windows, 1 OSD window, and 1 attribute window  
allowing up to 8 levels of alpha blending. The VENC provides four analog DACs that run at 54 MHz,  
providing a means for composite NTSC/PAL video, S-Video, and/or Component video output. The VENC  
also provides up to 24 bits of digital output to interface to RGB888 devices. The digital output is capable of  
8/16-bit BT.656 output and/or CCIR.601 with separate horizontal and vertical syncs.  
The Resizer accepts image data for separate horizontal and vertical resizing from 1/4x to 4x in increments  
of 256/N, where N is between 64 and 1024.  
The Ethernet Media Access Controller (EMAC) provides an efficient interface between the DM6433 and  
the network. The DM6433 EMAC support both 10Base-T and 100Base-TX, or 10 Mbits/second (Mbps)  
and 100 Mbps in either half- or full-duplex mode, with hardware flow control and quality of service (QOS)  
support.  
The Management Data Input/Output (MDIO) module continuously polls all 32 MDIO addresses in order to  
enumerate all PHY devices in the system.  
The I2C and VLYNQ ports allow DM6433 to easily control peripheral devices and/or communicate with  
host processors.  
The rich peripheral set provides the ability to control external peripheral devices and communicate with  
external processors. For details on each of the peripherals, see the related sections later in this document  
and the associated peripheral reference guides.  
The DM6433 has a complete set of development tools. These include C compilers, a DSP assembly  
optimizer to simplify programming and scheduling, and a Windows™ debugger interface for visibility into  
source code execution.  
Submit Documentation Feedback  
TMS320DM6433 Digital Media Processor  
3
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
1.3 Functional Block Diagram  
Figure 1-1 shows the functional block diagram of the DM6433 device.  
JTAG Interface  
Video Processing Subsystem (VPSS)  
System Control  
DSP Subsystem  
C64x+t DSP CPU  
128 KB L2 RAM  
OSC  
Front End  
Resizer  
Back End  
8b BT.656,  
Y/C,  
24b RGB  
Input  
Clock(s)  
PLLs/Clock Generator  
Power/Sleep Controller  
Pin Multiplexing  
10b DAC  
On-Screen Video  
Display Encoder  
NTSC/  
PAL,  
10b DAC  
10b DAC  
10b DAC  
32 KB  
L1 Pgm  
80 KB  
L1 Data  
(OSD)  
(VENC)  
S-Video,  
RGB,  
YPbPr  
Boot ROM  
Switched Central Resource (SCR)  
Peripherals  
Serial Interfaces  
System  
General-  
Purpose  
Timer  
Watchdog  
Timer  
2
GPIO  
McASP  
I C  
UART  
McBSP  
PWM  
EDMA  
Program/Data Storage  
Connectivity  
EMAC  
DDR2  
Mem Ctlr  
(32b)  
Async EMIF/  
NAND/  
PCI  
(33 MHz)  
VLYNQ  
With  
HPI  
MDIO  
(8b)  
Figure 1-1. TMS320DM6433 Functional Block Diagram  
4
TMS320DM6433 Digital Media Processor  
Submit Documentation Feedback  
 
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
Contents  
1
TMS320DM6433 Digital Media Processor........... 1  
Temperature (Unless Otherwise Noted) ........... 141  
1.1 Features .............................................. 1  
6
Peripheral Information and Electrical  
Specifications ......................................... 143  
1.2 Description............................................ 2  
1.3 Functional Block Diagram ............................ 4  
6.1 Parameter Information ............................. 143  
6.2  
Recommended Clock and Control Signal Transition  
Revision History............................................... 6  
2
Behavior............................................ 144  
Device Overview ......................................... 6  
2.1 Device Characteristics................................ 6  
2.2 CPU (DSP Core) Description......................... 8  
2.3 C64x+ CPU.......................................... 11  
2.4 Memory Map Summary ............................. 12  
2.5 Pin Assignments .................................... 16  
2.6 Terminal Functions.................................. 24  
2.7 Device Support ...................................... 66  
6.3 Power Supplies .................................... 144  
6.4  
Enhanced Direct Memory Access (EDMA3)  
Controller........................................... 153  
6.5 Reset............................................... 165  
6.6  
External Clock Input From MXI/CLKIN Pin ........ 174  
6.7 Clock PLLs......................................... 176  
6.8 Interrupts........................................... 182  
6.9 External Memory Interface (EMIF)................. 185  
2.8  
Device and Development-Support Tool  
6.10 Video Processing Sub-System (VPSS) Overview . 194  
6.11 Universal Asynchronous Receiver/Transmitter  
(UART) ............................................. 208  
6.12 Inter-Integrated Circuit (I2C) ....................... 210  
6.13 Host-Port Interface (HPI) Peripheral............... 214  
Nomenclature ....................................... 66  
2.9 Documentation Support ............................. 68  
Device Configurations................................. 69  
3.1 System Module Registers ........................... 69  
3.2 Power Considerations............................... 70  
3.3 Clock Considerations................................ 72  
3.4 Boot Sequence...................................... 75  
3.5 Configurations At Reset ............................. 87  
3.6 Configurations After Reset .......................... 90  
3.7 Multiplexed Pin Configurations...................... 94  
3
6.14 Multichannel Buffered Serial Port (McBSP)........ 219  
6.15 Multichannel Audio Serial Port (McASP0)  
Peripheral .......................................... 228  
6.16 Ethernet Media Access Controller (EMAC) ........ 236  
6.17 Management Data Input/Output (MDIO) .......... 243  
6.18 Timers.............................................. 244  
6.19 Peripheral Component Interconnect (PCI)......... 247  
6.20 Pulse Width Modulator (PWM)..................... 253  
6.21 VLYNQ ............................................. 255  
6.22 General-Purpose Input/Output (GPIO)............. 259  
6.23 IEEE 1149.1 JTAG................................. 263  
Mechanical Data....................................... 265  
7.1 Thermal Data for ZWT ............................. 265  
7.1.1 Thermal Data for ZDU............................. 266  
7.1.2 Packaging Information............................. 266  
3.8  
Device Initialization Sequence After Reset ........ 133  
3.9 Debugging Considerations......................... 135  
System Interconnect ................................. 137  
4.1 System Interconnect Block Diagram............... 137  
Device Operating Conditions....................... 139  
4
5
5.1  
Absolute Maximum Ratings Over Operating  
7
Temperature Range (Unless Otherwise Noted) ... 139  
5.2 Recommended Operating Conditions ............. 140  
5.3  
Electrical Characteristics Over Recommended  
Ranges of Supply Voltage and Operating  
Submit Documentation Feedback  
Contents  
5
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
Revision History  
NOTE: Page numbers for previous revisions may differ from page numbers in the current version.  
This data manual revision history highlights the technical changes made to the SPRS343B device-specific  
data manual to make it an SPRS343C revision.  
Scope: Applicable updates to the TMS320DM643x DMP, specifically relating to the TMS320DM6433  
device, have been incorporated.  
Added 660- and 700-MHz C64x+™ device speeds.  
Added designators for low-power (-L) devices.  
SEE  
ADDITIONS/MODIFICATIONS/DELETIONS  
Added "5280, 5600 MIPS" to "High-Performance Digital Signal Processor (DM6437)" bullet  
In first paragraph, updated/changed the following:  
Section 1.1  
Section 1.2  
First sentence from "With performance up to 4800 million instructions per second (MIPS) at a clock  
rate of 600 MHz..." to "With performance up to 5600 million instructions per second (MIPS) with a  
clock rate of 700 MHz..."  
Fifth sentence from "The DSP core can produce...for a total of 2400 million MACs per second...or a  
total of 4800 MMACS."to "The DSP core can produce...for a total of 2800 million MACs per  
second...or a total of 5600 MMACS."  
Section 2.6  
Table 2-23, Multichannel Audio Serial Port (McASP0) Terminal Functions:  
Updated/Changed AFSR0/DR0/GP[100] pin description from "... frame synchronization AFSX0..." to  
"...frame synchronization AFSR0..."  
Updated/Changed AFSX0/DX1/GP[107] pin description from "...frame synchronization AFSR0..." to  
"...frame synchronization AFSX0..."  
Table 2-20, DAC [Part of VPBE] Terminal Functions:  
Updated/Changed VDDA_1P1V description  
Section 2.8  
Section 5  
Updated/Changed Figure 2-10, Device Nomenclature, to reflect new device speeds and low-power  
designator (-L suffix).  
Added footnote to Section 5.1, Absolute Maximum Ratings Over Operating Temperature Range (Unless  
Otherwise Noted)  
Section 5  
Updated/Changed ICDD and IDDD test conditions and footnote in Section 5.3, Electrical Characteristics Over  
Recommended Ranges of Supply Voltage and Operating Temperature (Unless Otherwise Noted).  
Section 6.7.1  
Table 6-15, PLLC1 Clock Frequency Ranges:  
Updated/Changed PLLOUT 1.2V-CVDD max value from "700 MHz" to "600 MHz" for  
-6/-5/-4/-L/-Q6/-Q5/-Q4 devices.  
Updated/Changed SYSCLK1 1.05V-CVDD max value from "560 MHz" to "520 MHz" for -7 devices.  
Section 5.2  
Deleted "Future variants..." footnote from table  
Section 6.7.1  
Updated/Changed sentence from "TI requires EMI filter manufacturer Murata..." to "TI recommends EMI  
filter manufacturer Murata..."  
Section 6.7.4  
Deleted "(-4, -4Q, -4S, -5, -5Q, -5S, -6)" from Table 6-19 title, Timing Requirements for MXI/CLKIN.  
2 Device Overview  
2.1 Device Characteristics  
Table 2-1, provides an overview of the TMS320DM6433 DSP. The tables show significant features of the  
DM6433 device, including the capacity of on-chip RAM, the peripherals, the CPU frequency, and the  
package type with pin count.  
6
Revision History  
Submit Documentation Feedback  
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
Table 2-1. Characteristics of the DM6433 Processor  
HARDWARE FEATURES  
DM6433  
DDR2 Memory Controller  
(16-/32-bit bus width) [1.8 V I/O]  
Asynchronous (8-bit bus width),  
RAM, Flash, (8-bit NOR or 8-bit NAND)  
Asynchronous EMIF [EMIFA]  
EDMA3  
1 (64 independent channels, 8 QDMA channels)  
2 64-bit General Purpose  
(configurable as 2 64-bit or 4 32-bit)  
1 64-bit Watch Dog  
Timers  
UART  
I2C  
1 (with RTS and CTS flow control)  
Peripherals  
1 (Master/Slave)  
1
Not all peripherals pins  
are available at the same  
time (For more detail, see  
the Device Configuration  
section).  
McBSP  
McASP  
1 (4 serailizers)  
10/100 Ethernet MAC (EMAC) with  
Management Data Input/Output (MDIO)  
1
VLYNQ  
1
General-Purpose Input/Output Port (GPIO)  
PWM  
Up to 111 pins  
3 outputs  
HPI (16-bit)  
1
1
PCI (32-bit), [33-MHz]  
Configurable Video Port  
Size (Bytes)  
1 Output (VPBE)  
240KB RAM, 64KB ROM  
32K-Byte (32KB) L1 Program (L1P) RAM/Cache  
(Cache up to 32KB)  
80KB L1 Data (L1D) RAM/Cache (Cache up to 32KB)  
128KB Unified Mapped RAM/Cache (L2)  
64KB Boot ROM  
On-Chip Memory  
Organization  
Revision ID Register (MM_REVID.[15:0])  
(address location: 0x0181 2000)  
See the TMS320DM6437/35/33/31 Digital Media  
Processor (DMP) [Silicon Revisions 1.1 and 1.0]  
Silicon Errata (literature number SPRZ250).  
MegaModule Rev ID  
CPU ID + CPU Rev ID  
JTAG BSDL_ID  
Control Status Register (CSR.[31:16])  
JTAGID register  
(address location: 0x01C4 0028)  
See Section 6.23.1, JTAG ID (JTAGID) Register  
Description(s)  
700 (-7)  
660 (-Q6)  
600 (-6/-L)  
500 (-5/-Q5)  
400 (-4/-Q4)  
CPU Frequency(1)(2)  
MHz  
ns  
2.5 ns (-4/-Q4)  
2 ns (-5/-Q5)  
1.67 ns (-6/-L)  
1.51 ns (-Q6)  
1.43 ns (-7)  
Cycle Time(1)(2)  
1.2 V  
(-7/ -6/-5/ -4/-L/-Q6/-Q5/-Q4)  
Core (V)  
I/O (V)  
Voltage  
1.05 V  
(-7/-6/-5/-4/-L/-Q5)  
1.8 V, 3.3 V  
MXI/CLKIN frequency multiplier  
(27 MHz reference)  
PLL Options  
x1 (Bypass), x14 to x 30  
16 x 16 mm, 0.8 mm pitch  
23 x 23 mm, 1.0 mm pitch  
µm  
361-Pin BGA (ZWT)  
376-Pin BGA (ZDU)  
0.09 µm  
BGA Package(s)  
Process Technology  
(1) Performance numbers assume core voltage is set to 1.2V  
(2) Applies to "tape and reel" part number counterparts as well. For more information, see Section 2.8, Device and Development-Support  
Tool Nomenclature.  
Submit Documentation Feedback  
Device Overview  
7
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
Table 2-1. Characteristics of the DM6433 Processor (continued)  
HARDWARE FEATURES  
DM6433  
Product Preview (PP), Advance Information (AI),  
or Production Data (PD)  
Product Status(3)  
PD  
(3) PRODUCTION DATA information is current as of publication date. Products conform to specifications per the terms of Texas  
Instruments standard warranty. Production processing does not necessarily include testing of all parameters.  
2.2 CPU (DSP Core) Description  
The C64x+ Central Processing Unit (CPU) consists of eight functional units, two register files, and two  
data paths as shown in Figure 2-1. The two general-purpose register files (A and B) each contain  
32 32-bit registers for a total of 64 registers. The general-purpose registers can be used for data or can be  
data address pointers. The data types supported include packed 8-bit data, packed 16-bit data, 32-bit  
data, 40-bit data, and 64-bit data. Values larger than 32 bits, such as 40-bit-long or 64-bit-long values are  
stored in register pairs, with the 32 LSBs of data placed in an even register and the remaining 8 or  
32 MSBs in the next upper register (which is always an odd-numbered register).  
The eight functional units (.M1, .L1, .D1, .S1, .M2, .L2, .D2, and .S2) are each capable of executing one  
instruction every clock cycle. The .M functional units perform all multiply operations. The .S and .L units  
perform a general set of arithmetic, logical, and branch functions. The .D units primarily load data from  
memory to the register file and store results from the register file into memory.  
The C64x+ CPU extends the performance of the C64x core through enhancements and new features.  
Each C64x+ .M unit can perform one of the following each clock cycle: one 32 x 32 bit multiply, one 16 x  
32 bit multiply, two 16 x 16 bit multiplies, two 16 x 32 bit multiplies, two 16 x 16 bit multiplies with  
add/subtract capabilities, four 8 x 8 bit multiplies, four 8 x 8 bit multiplies with add operations, and four  
16 x 16 multiplies with add/subtract capabilities (including a complex multiply). There is also support for  
Galois field multiplication for 8-bit and 32-bit data. Many communications algorithms such as FFTs and  
modems require complex multiplication. The complex multiply (CMPY) instruction takes for 16-bit inputs  
and produces a 32-bit real and a 32-bit imaginary output. There are also complex multiplies with rounding  
capability that produces one 32-bit packed output that contain 16-bit real and 16-bit imaginary values. The  
32 x 32 bit multiply instructions provide the extended precision necessary for audio and other  
high-precision algorithms on a variety of signed and unsigned 32-bit data types.  
The .L or (Arithmetic Logic Unit) now incorporates the ability to do parallel add/subtract operations on a  
pair of common inputs. Versions of this instruction exist to work on 32-bit data or on pairs of 16-bit data  
performing dual 16-bit add and subtracts in parallel. There are also saturated forms of these instructions.  
The C64x+ core enhances the .S unit in several ways. In the C64x core, dual 16-bit MIN2 and MAX2  
comparisons were only available on the .L units. On the C64x+ core they are also available on the .S unit  
which increases the performance of algorithms that do searching and sorting. Finally, to increase data  
packing and unpacking throughput, the .S unit allows sustained high performance for the quad 8-bit/16-bit  
and dual 16-bit instructions. Unpack instructions prepare 8-bit data for parallel 16-bit operations. Pack  
instructions return parallel results to output precision including saturation support.  
Other new features include:  
SPLOOP - A small instruction buffer in the CPU that aids in creation of software pipelining loops where  
multiple iterations of a loop are executed in parallel. The SPLOOP buffer reduces the code size  
associated with software pipelining. Furthermore, loops in the SPLOOP buffer are fully interruptible.  
Compact Instructions - The native instruction size for the C6000 devices is 32 bits. Many common  
instructions such as MPY, AND, OR, ADD, and SUB can be expressed as 16 bits if the C64x+  
compiler can restrict the code to use certain registers in the register file. This compression is  
performed by the code generation tools.  
Instruction Set Enhancement - As noted above, there are new instructions such as 32-bit  
multiplications, complex multiplications, packing, sorting, bit manipulation, and 32-bit Galois field  
multiplication.  
8
Device Overview  
Submit Documentation Feedback  
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
Exceptions Handling - Intended to aid the programmer in isolating bugs. The C64x+ CPU is able to  
detect and respond to exceptions, both from internally detected sources (such as illegal op-codes) and  
from system events (such as a watchdog time expiration).  
Privilege - Defines user and supervisor modes of operation, allowing the operating system to give a  
basic level of protection to sensitive resources. Local memory is divided into multiple pages, each with  
read, write, and execute permissions.  
Time-Stamp Counter - Primarily targeted for Real-Time Operating System (RTOS) robustness, a  
free-running time-stamp counter is implemented in the CPU which is not sensitive to system stalls.  
For more details on the C64x+ CPU and its enhancements over the C64x architecture, see the following  
documents:  
TMS320C64x/C64x+ DSP CPU and Instruction Set Reference Guide (literature number SPRU732)  
TMS320C64x+ DSP Megamodule Reference Guide (literature number SPRU871)  
TMS320C64x to TMS320C64x+ CPU Migration Guide Application Report (literature number SPRAA84)  
TMS320C64x+ DSP Cache User's Guide (literature number SPRU862)  
Submit Documentation Feedback  
Device Overview  
9
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
Even  
register  
file A  
(A0, A2,  
A4...A30)  
src1  
src2  
Odd  
register  
file A  
(A1, A3,  
A5...A31)  
.L1  
odd dst  
even dst  
long src  
(D)  
8
32 MSB  
32 LSB  
ST1b  
ST1a  
8
long src  
even dst  
odd dst  
src1  
(D)  
Data path A  
.S1  
src2  
32  
32  
(A)  
(B)  
dst2  
dst1  
src1  
.M1  
src2  
(C)  
32 MSB  
32 LSB  
LD1b  
LD1a  
dst  
src1  
src2  
.D1  
.D2  
DA1  
2x  
1x  
Even  
register  
file B  
(B0, B2,  
B4...B30)  
Odd  
register  
file B  
(B1, B3,  
B5...B31)  
src2  
DA2  
src1  
dst  
32 LSB  
LD2a  
LD2b  
32 MSB  
src2  
(C)  
.M2  
src1  
dst2  
32  
32  
(B)  
(A)  
dst1  
src2  
src1  
.S2  
odd dst  
even dst  
long src  
(D)  
Data path B  
8
8
32 MSB  
32 LSB  
ST2a  
ST2b  
long src  
even dst  
(D)  
odd dst  
.L2  
src2  
src1  
Control Register  
A. On .M unit, dst2 is 32 MSB.  
B. On .M unit, dst1 is 32 LSB.  
C. On C64x CPU .M unit, src2 is 32 bits; on C64x+ CPU .M unit, src2 is 64 bits.  
D. On .L and .S units, odd dst connects to odd register files and even dst connects to even register files.  
Figure 2-1. TMS320C64x+™ CPU (DSP Core) Data Paths  
10  
Device Overview  
Submit Documentation Feedback  
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
2.3 C64x+ CPU  
The C64x+ core uses a two-level cache-based architecture. The Level 1 Program memory/cache (L1P)  
consists of 32 KB memory space that can be configured as mapped memory or direct mapped cache. The  
Level 1 Data memory/cache (L1D) consists of 80 KB—48 KB of which is mapped memory and 32 KB of  
which can be configured as mapped memory or 2-way set associated cache. The Level 2 memory/cache  
(L2) consists of a 128 KB memory space that is shared between program and data space. L2 memory can  
be configured as mapped memory, cache, or a combination of both.  
Table 2-2 shows a memory map of the C64x+ CPU cache registers for the device.  
Table 2-2. C64x+ Cache Registers  
HEX ADDRESS RANGE  
0x0184 0000  
REGISTER ACRONYM  
L2CFG  
DESCRIPTION  
L2 Cache configuration register  
0x0184 0020  
L1PCFG  
L1PCC  
L1P Size Cache configuration register  
L1P Freeze Mode Cache configuration register  
L1D Size Cache configuration register  
L1D Freeze Mode Cache configuration register  
Reserved  
0x0184 0024  
0x0184 0040  
L1DCFG  
L1DCC  
-
0x0184 0044  
0x0184 0048 - 0x0184 0FFC  
0x0184 1000  
EDMAWEIGHT  
-
L2 EDMA access control register  
Reserved  
0x0184 1004 - 0x0184 1FFC  
0x0184 2000  
L2ALLOC0  
L2ALLOC1  
L2ALLOC2  
L2ALLOC3  
-
L2 allocation register 0  
0x0184 2004  
L2 allocation register 1  
0x0184 2008  
L2 allocation register 2  
0x0184 200C  
L2 allocation register 3  
0x0184 2010 - 0x0184 3FFF  
0x0184 4000  
Reserved  
L2WBAR  
L2WWC  
L2WIBAR  
L2WIWC  
L2IBAR  
L2IWC  
L2 writeback base address register  
L2 writeback word count register  
L2 writeback invalidate base address register  
L2 writeback invalidate word count register  
L2 invalidate base address register  
L2 invalidate word count register  
L1P invalidate base address register  
L1P invalidate word count register  
L1D writeback invalidate base address register  
L1D writeback invalidate word count register  
Reserved  
0x0184 4004  
0x0184 4010  
0x0184 4014  
0x0184 4018  
0x0184 401C  
0x0184 4020  
L1PIBAR  
L1PIWC  
L1DWIBAR  
L1DWIWC  
-
0x0184 4024  
0x0184 4030  
0x0184 4034  
0x0184 4038  
0x0184 4040  
L1DWBAR  
L1DWWC  
L1DIBAR  
L1DIWC  
-
L1D Block Writeback  
0x0184 4044  
L1D Block Writeback  
0x0184 4048  
L1D invalidate base address register  
L1D invalidate word count register  
Reserved  
0x0184 404C  
0x0184 4050 - 0x0184 4FFF  
0x0184 5000  
L2WB  
L2 writeback all register  
0x0184 5004  
L2WBINV  
L2INV  
L2 writeback invalidate all register  
L2 Global Invalidate without writeback  
Reserved  
0x0184 5008  
0x0184 500C - 0x0184 5027  
0x0184 5028  
-
L1PINV  
-
L1P Global Invalidate  
0x0184 502C - 0x0184 5039  
0x0184 5040  
Reserved  
L1DWB  
L1DWBINV  
L1DINV  
L1D Global Writeback  
0x0184 5044  
L1D Global Writeback with Invalidate  
L1D Global Invalidate without writeback  
0x0184 5048  
Submit Documentation Feedback  
Device Overview  
11  
 
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
Table 2-2. C64x+ Cache Registers (continued)  
HEX ADDRESS RANGE  
REGISTER ACRONYM  
DESCRIPTION  
0x0184 8000 - 0x0184 80BC  
MAR0 - MAR47  
Reserved (corresponds to byte address 0x0000 0000 - 0x2FFF FFFF)  
Memory Attribute Registers for PCI Data (corresponds to byte address  
0x3000 0000 - 0x3FFF FFFF)  
0x0184 80C0 - 0x0184 80FC  
0x0184 8100 - 0x0184 8104  
0x0184 8108 - 0x0184 8124  
0x0184 8128 - 0x0184 812C  
0x0184 8130 - 0x0184 813C  
0x0184 8140- 0x0184 81FC  
0x0184 8200 - 0x0184 823C  
0x0184 8240 - 0x0184 83FC  
MAR48 - MAR63  
MAR64 - MAR65  
MAR66 - MAR73  
MAR74 - MAR75  
MAR76 - MAR79  
MAR80 - MAR127  
MAR128 - MAR143  
MAR144 - MAR255  
Reserved (corresponds to byte address 0x4000 0000 - 0x41FF FFFF)  
Memory Attribute Registers for EMIFA  
(corresponds to byte address 0x4200 0000 - 0x49FF FFFF)  
Reserved (corresponds to byte address 0x4A00 0000 - 0x4BFF FFFF)  
Memory Attribute Registers for VLYNQ (corresponds to byte address  
0x4C00 0000 - 0x4FFF FFFF)  
Reserved (corresponds to byte address 0x5000 0000 - 0x7FFF FFFF)  
Memory Attribute Registers for DDR2  
(corresponds to byte address 0x8000 0000 - 0x8FFF FFFF)  
Reserved (corresponds to byte address 0x9000 0000 - 0xFFFF FFFF)  
2.4 Memory Map Summary  
Table 2-3 shows the memory map address ranges of the device. Table 2-4 depicts the expanded map of  
the Configuration Space (0x0180 0000 through 0x0FFF FFFF). The device has multiple on-chip memories  
associated with its two processors and various subsystems. To help simplify software development a  
unified memory map is used where possible to maintain a consistent view of device resources across all  
bus masters.  
12  
Device Overview  
Submit Documentation Feedback  
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
Table 2-3. Memory Map Summary  
START  
ADDRESS  
END  
ADDRESS  
SIZE  
(Bytes)  
C64x+  
MEMORY MAP  
EDMA PERIPHERAL  
MEMORY MAP  
VPSS  
MEMORY MAP  
PCI  
MEMORY MAP  
0x0000 0000  
0x0010 0000  
0x0011 0000  
0x0080 0000  
0x0082 0000  
0x00E0 8000  
0x00E1 0000  
0x00F0 4000  
0x00F1 0000  
0x00F1 8000  
0x0180 0000  
0x01C0 0000  
0x0200 0000  
0x1010 0000  
0x1011 0000  
0x1080 0000  
0x1082 0000  
0x10E0 8000  
0x10E1 0000  
0x10F0 4000  
0x10F1 0000  
0x10F1 8000  
0x1100 0000  
0x2000 0000  
0x2000 8000  
0x3000 0000  
0x4000 0000  
0x4200 0000  
0x4300 0000  
0x4400 0000  
0x4500 0000  
0x4600 0000  
0x4700 0000  
0x4800 0000  
0x4900 0000  
0x4A00 0000  
0x4C00 0000  
0x5000 0000  
0x8000 0000  
0x9000 0000  
0x000F FFFF  
1M  
Reserved  
0x0010 FFFF  
0x007F FFFF  
0x0081 FFFF  
0x00E0 7FFF  
0x00E0 FFFF  
0x00F0 3FFF  
0x00F0 FFFF  
0x00F1 7FFF  
0x017F FFFF  
0x01BF FFFF  
0x01FF FFFF  
0x100F FFFF  
0x1010 FFFF  
0x107F FFFF  
0x1081 FFFF  
0x10E0 7FFF  
0x10E0 FFFF  
0x10F0 3FFF  
0x10F0 FFFF  
0x10F1 7FFF  
0x10FF FFFF  
0x1FFF FFFF  
0x2000 7FFF  
0x2FFF FFFF  
0x3FFF FFFF  
0x41FF FFFF  
0x42FF FFFF  
0x43FF FFFF  
0x44FF FFFF  
0x45FF FFFF  
0x46FF FFFF  
0x47FF FFFF  
0x48FF FFFF  
0x49FF FFFF  
0x4BFF FFFF  
0x4FFF FFFF  
0x7FFF FFFF  
0x8FFF FFFF  
0xFFFF FFFF  
64K  
Boot ROM  
7M-64K  
128K  
6048K  
32K  
Reserved  
L2 RAM/Cache(1)  
Reserved  
L1P RAM/Cache(1)  
Reserved  
Reserved  
Reserved  
976K  
48K  
L1D RAM  
32K  
L1D RAM/Cache(1)  
9120K  
4M  
Reserved  
CFG Space  
4M  
CFG Bus Peripherals  
Reserved  
CFG Bus Peripherals  
Reserved  
CFG Bus Peripherals  
Reserved  
225M  
64K  
Boot ROM  
7M-48K  
128K  
6048K  
32K  
Reserved  
L2 RAM/Cache(1)  
L2 RAM/Cache(1)  
Reserved  
L2 RAM/Cache(1)  
Reserved  
Reserved  
L1P RAM/Cache(1)  
Reserved  
L1P RAM/Cache(1)  
L1P RAM/Cache(1)  
976K  
48K  
Reserved  
Reserved  
Reserved  
L1D RAM  
L1D RAM  
L1D RAM  
32K  
L1D RAM/Cache(1)  
L1D RAM/Cache(1)  
L1D RAM/Cache(1)  
1M-96K  
240M  
32K  
Reserved  
Reserved  
Reserved  
Reserved  
Reserved  
Reserved  
DDR2 Control Regs  
Reserved  
DDR2 Control Regs  
Reserved  
DDR2 Control Regs  
Reserved  
256M-32K  
256M  
32M  
PCI Data  
PCI Data  
Reserved  
Reserved  
16M  
EMIFA Data (CS2)(2)  
EMIFA Data (CS2)(2)  
16M  
Reserved  
Reserved  
16M  
EMIFA Data (CS3)(2)  
Reserved  
EMIFA Data (CS3)(2)  
Reserved  
16M  
16M  
EMIFA Data (CS4)(2)  
EMIFA Data (CS4)(2)  
16M  
Reserved  
Reserved  
16M  
EMIFA Data (CS5)(2)  
Reserved  
EMIFA Data (CS5)(2)  
Reserved  
16M  
32M  
Reserved  
Reserved  
64M  
VLYNQ (Remote Data)  
Reserved  
VLYNQ (Remote Data)  
Reserved  
768M  
256M  
1792M  
DDR2 Memory Controller  
Reserved  
DDR2 Memory Controller  
Reserved  
DDR2 Memory Controller  
Reserved  
DDR2 Memory Controller  
Reserved  
(1) For all bootmodes that default to DSPBOOTADDR = 0x0010 0000 (i.e., all boot modes except the EMIFA ROM Direct Boot,  
BOOTMODE[3:0] = 0100, FASTBOOT = 0), the bootloader code disables all C64x+ cache (L2, L1P, and L1D) so that upon exit from the  
bootloader code, all C64x+ memories are configured as all RAM (L2CFG.L2MODE = 0h, L1PCFG.L1PMODE = 0h, and  
L1DCFG.L1DMODE = 0h). If cache use is required, the application code must explicitly enable the cache. For more information on boot  
modes, see Section 3.4.1, Boot Modes. For more information on the bootloader, see the Using the TMS320DM643x Bootloader  
Application Report (literature number SPRAAG0). For the EMIFA ROM Direct Boot (BOOTMODE[3:0] = 0100, FASTBOOT = 0), the  
bootloader is not executed—that is, L2 RAM/Cache defaults to all RAM (L2CFG.L2MODE = 0h); L1P RAM/Cache defaults to all cache  
(L1PCFG.L1PMODE = 7h); and L1D RAM/Cache defaults to all cache (L1DCFG.L1DMODE = 7h).  
(2) The EMIFA CS0 and CS1 are not functionally supported on the DM6433 device, and therefore, are not pinned out.  
Submit Documentation Feedback  
Device Overview  
13  
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
Table 2-4. Configuration Memory Map Summary  
START  
END  
SIZE  
C64x+  
ADDRESS  
ADDRESS  
(Bytes)  
0x0180 0000  
0x0181 0000  
0x0181 1000  
0x0181 2000  
0x0182 0000  
0x0183 0000  
0x0184 0000  
0x0185 0000  
0x0188 0000  
0x01BC 0000  
0x01BC 0100  
0x01BC 0400  
0x01C0 0000  
0x01C1 0000  
0x01C1 0400  
0x01C1 0800  
0x01C1 0C00  
0x01C1 A000  
0x01C1 A800  
0x01C2 0000  
0x01C2 0400  
0x01C2 0800  
0x01C2 1000  
0x01C2 1400  
0x01C2 1800  
0x01C2 1C00  
0x01C2 2000  
0x01C2 2400  
0x01C2 2800  
0x01C2 2C00  
0x01C4 0000  
0x01C4 0800  
0x01C4 0C00  
0x01C4 1000  
0x01C4 2000  
0x01C6 7000  
0x01C6 7800  
0x01C6 8000  
0x01C7 0000  
0x01C7 4000  
0x01C8 0000  
0x01C8 1000  
0x01C8 2000  
0x01C8 4000  
0x01C8 4800  
0x0180 FFFF  
0x0181 0FFF  
0x0181 1FFF  
0x0181 2FFF  
0x0182 FFFF  
0x0183 FFFF  
0x0184 FFFF  
0x0187 FFFF  
0x01BB FFFF  
0x01BC 00FF  
0x01BC 01FF  
0x01BF FFFF  
0x01C0 FFFF  
0x01C1 03FF  
0x01C1 07FF  
0x01C1 0BFF  
0x01C1 9FFF  
0x01C1 A7FF  
0x01C1 FFFF  
0x01C2 03FF  
0x01C2 07FF  
0x01C2 0FFF  
0x01C2 13FF  
0x01C2 17FF  
0x01C2 1BFF  
0x01C2 1FFF  
0x01C2 23FF  
0x01C2 27FF  
0x01C2 2BFF  
0x01C3 FFFF  
0x01C4 07FF  
0x01C4 0BFF  
0x01C4 0FFF  
0x01C4 1FFF  
0x01C6 6FFF  
0x01C6 77FF  
0x01C6 7FFF  
0x01C6 FFFF  
0x01C7 3FFF  
0x01C7 FFFF  
0x01C8 0FFF  
0x01C8 1FFF  
0x01C8 3FFF  
0x01C8 47FF  
0x01CF FFFF  
64K  
4K  
C64x+ Interrupt Controller  
C64x+ Powerdown Controller  
C64x+ Security ID  
C64x+ Revision ID  
C64x+ EMC  
4K  
4K  
64K  
64K  
64K  
192K  
3328K  
256  
256  
255K  
64K  
1K  
Reserved  
C64x+ Memory System  
Reserved  
Reserved  
Reserved  
Pin Manager and Trace  
Reserved  
EDMA CC  
EDMA TC0  
1K  
EDMA TC1  
1K  
EDMA TC2  
5K  
Reserved  
PCI Control Registers(1)  
2K  
22K  
1K  
Reserved  
UART0  
1K  
Reserved  
2K  
Reserved  
1K  
I2C  
1K  
Timer0  
1K  
Timer1  
1K  
Timer2 (Watchdog)  
PWM0  
1K  
1K  
PWM1  
1K  
PWM2  
117K  
2K  
Reserved  
System Module  
PLL Controller 1  
PLL Controller 2  
Power and Sleep Controller  
Reserved  
1K  
1K  
4K  
148K  
2K  
GPIO  
2K  
HPI  
32K  
16K  
48K  
4K  
Reserved  
VPSS Registers  
Reserved  
EMAC Control Registers  
EMAC Control Module Registers  
EMAC Control Module RAM  
MDIO Control Registers  
Reserved  
4K  
8K  
2K  
494K  
(1) Access to certain PCI registers when there is no active PCI clock may hang the device. For more information, see the TMS320DM643x  
Peripheral Component Interconnect (PCI) Reference Guide (literature number SPRU985).  
14  
Device Overview  
Submit Documentation Feedback  
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
Table 2-4. Configuration Memory Map Summary (continued)  
START  
END  
SIZE  
C64x+  
ADDRESS  
ADDRESS  
(Bytes)  
0x01D0 0000  
0x01D0 0800  
0x01D0 1000  
0x01D0 1400  
0x01D0 1800  
0x01E0 0000  
0x01E0 1000  
0x01E0 2000  
0x01D0 07FF  
0x01D0 0FFF  
0x01D0 13FF  
0x01D0 17FF  
0x01DF FFFF  
0x01E0 0FFF  
0x01E0 1FFF  
0x0FFF FFFF  
2K  
McBSP0  
2K  
Reserved  
1K  
McASP0 Control  
McASP0 Data  
Reserved  
1K  
1018K  
4K  
EMIFA Control  
4K  
VLYNQ Control Registers  
Reserved  
226M-8K  
Submit Documentation Feedback  
Device Overview  
15  
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
2.5 Pin Assignments  
Extensive use of pin multiplexing is used to accommodate the largest number of peripheral functions in  
the smallest possible package. Pin multiplexing is controlled using a combination of hardware  
configuration at device reset and software programmable register settings. For more information on pin  
muxing, see TBD, Multiplexed Pin Configurations of this document.  
2.5.1 Pin Map (Bottom View)  
Figure 2-2 through Figure 2-5 show the bottom view of the ZWT package pin assignments in four  
quadrants (A, B, C, and D). Figure 2-6 through Figure 2-9 show the bottom view of the ZDU package pin  
assignments in four quadrants (A, B, C, and D).  
1
2
3
4
5
6
7
8
9
10  
W
V
U
T
V
V
W
V
U
T
DDR_D[7]  
DDR_D[9]  
DDR_D[12]  
DDR_D[14]  
DDR_CLK  
DDR_CLK  
DDR_A[12]  
DDR_A[11]  
SS  
SS  
DV  
DDR_D[4]  
DDR_D[3]  
DDR_D[1]  
TRST  
DDR_D[6]  
DDR_D[5]  
PCIEN  
DDR_D[8]  
DDR_DQS[0]  
DDR_DQM[0]  
DDR_D[11]  
DDR_D[10]  
DDR_D[13]  
DDR_DQS[1]  
DDR_DQM[1]  
DDR_D[15]  
DDR_RAS  
DDR_CAS  
DDR_CKE  
DDR_BA[0]  
DDR_WE  
DDR_BA[1]  
DDR_BA[2]  
DDR_CS  
DDR_A[8]  
DDR_A[10]  
DDR_ZN  
DDR2  
DDR_D[2]  
DDR_D[0]  
DV  
DDR2  
R
P
N
M
L
R
P
N
M
L
TMS  
DV  
V
V
DV  
V
DV  
V
DV  
DDR2  
SS  
SS  
DDR2  
SS  
DDR2  
SS  
DDR2  
EMU0  
TDO  
TDI  
POR  
DV  
V
DV  
V
DV  
V
SS  
DD33  
SS  
DDR2  
SS  
DDR2  
DV  
DDR2  
TCK  
EMU1  
RESETOUT  
V
DV  
V
CV  
V
CV  
DD  
SS  
DD33  
SS  
DD  
SS  
CLKOUT0/  
PWM2/  
GP[84]  
RESET  
SCL  
SDA  
DV  
V
CV  
V
CV  
V
SS  
DD33  
SS  
DD  
SS  
DD  
URTS0/  
PWM0/  
GP[88]  
UCTS0/  
GP[87]  
URXD0/  
GP[85]  
TINP1L/  
GP[56]  
RSV3  
DV  
V
CV  
V
CV  
DD  
DD33  
SS  
DD  
SS  
TINP0L/  
GP[98]  
UTXD0/  
GP[86]  
TOUT1L/  
GP[55]  
K
K
V
RSV2  
5
V
CV  
V
CV  
V
SS  
SS  
SS  
DD  
SS  
DD  
1
2
3
4
6
7
8
9
10  
Figure 2-2. ZWT Pin Map [Quadrant A]  
16  
Device Overview  
Submit Documentation Feedback  
 
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
11  
12  
13  
14  
15  
16  
17  
18  
19  
W
V
U
T
W
V
U
T
DDR_A[6]  
DDR_A[5]  
DDR_A[0]  
DDR_D[16]  
DDR_D[18]  
DDR_D[21]  
DDR_D[27]  
DV  
DV  
DDR2  
DDR2  
DDR_A[7]  
DDR_A[9]  
DDR_ZP  
DDR_A[4]  
DDR_A[3]  
DDR_A[2]  
DDR_A[1]  
DDR_D[17]  
DDR_D[19]  
DDR_D[20]  
DDR_VREF  
DDR_D[22]  
DDR_DQS[3]  
DDR_DQM[3]  
DDR_D[24]  
DDR_D[25]  
DDR_D[23]  
DDR_D[29]  
V
SS  
DDR_DQS[2]  
DDR_D[28]  
DDR_D[26]  
DDR_D[30]  
DDR_VDDDLL DDR_VSSDLL DDR_DQM[2]  
DDR_D[31]  
R
P
N
M
L
R
P
N
M
L
V
DV  
RSV5  
DV  
V
DV  
V
V
V
SS  
SS  
DDR2  
DDR2  
SS  
DDR2  
SS  
SS  
DV  
V
DV  
V
V
V
V
V
DDA_1P8V  
DAC_IOUT_B DAC_IOUT_A  
DDR2  
SS  
DDR2  
SS  
SS  
SS  
SSA_1P1V  
DDA_1P1V  
SSA_1P8V  
V
CV  
V
SS  
V
DAC_RBIAS DAC_IOUT_D DAC_IOUT_C  
DAC_VREF  
SS  
DD  
CV  
V
CV  
V
DV  
V
V
V
V
V
V
DD  
SS  
DD  
DD33  
SS  
SS  
SS  
SS  
SS  
SS  
CV  
PLL  
V
V
DV  
RSV4  
MXV  
MXV  
DD  
PWR18  
SS  
SS  
DDR2  
DD  
MXI/  
CLKIN  
K
K
CV  
V
CV  
V
DV  
V
DV  
SS  
DD  
SS  
DD  
SS  
DD33  
SS  
DD33  
11  
12  
13  
14  
15  
16  
17  
18  
19  
Figure 2-3. ZWT Pin Map [Quadrant B]  
Submit Documentation Feedback  
Device Overview  
17  
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
11  
12  
13  
14  
15  
16  
17  
18  
19  
J
H
G
F
J
MXO  
V
CV  
V
DV  
V
DV  
V
V
SS  
SS  
DD  
SS  
DD33  
SS  
DD33  
SS  
YOUT5/  
GP[27]/  
(LENDIAN)  
YOUT7/  
GP[29]  
YOUT6/  
GP[28]  
H
G
F
CV  
V
CV  
V
DV  
V
SS  
DD  
SS  
DD  
SS  
DD33  
YOUT2/  
GP[24]/  
YOUT3/  
GP[25]/  
YOUT4/  
GP[26]/  
VPBECLK/  
GP[30]  
V
DV  
V
DV  
V
SS  
SS  
DD33  
SS  
DD33  
(BOOTMODE2) (BOOTMODE3) (FASTBOOT)  
YOUT1/  
GP[23]/  
(BOOTMODE1)  
COUT6/  
EM_D[6]/  
GP[20]  
COUT7/  
EM_D[7]/  
GP[21]  
YOUT0/  
GP[22]/  
(BOOTMODE0)  
HSYNC/  
EM_CS5/  
GP[33]  
DV  
V
DV  
V
SS  
DD33  
SS  
DD33  
COUT3/  
EM_D[3]/  
GP[17]  
COUT5/  
EM_D[5]/  
GP[19]  
COUT4/  
EM_D[4]/  
GP[18]  
VSYNC/  
EM_CS4/  
GP[32]  
EM_WAIT/  
(RDY/BSY)  
E
E
D
C
B
A
AD28  
AD30  
V
EM_WE  
GP[40]  
GP[38]  
GP[39]  
SS  
EM_A[18]/  
PRST/  
EM_D[5]/  
GP[46]  
COUT0/  
EM_D[0]/  
GP[14]  
COUT2/  
EM_D[2]/  
GP[16]  
COUT1/  
EM_D[1]/  
GP[15]  
EM_A[21]/  
GP[34]  
EM_R/W/  
GP[35]  
VCLK/  
GP[31]  
D
EM_OE  
GP[36]  
GP[37]  
EM_A[16]/  
PGNT/  
EM_D[3]/  
GP[48]  
EM_A[20]/  
PINTA/  
EM_D[7]/  
GP[44]  
B2/  
EM_BA[1]/  
GP[5]/  
R2/  
EM_BA[0]/  
GP[6]/  
LCD_OE/  
EM_CS3/  
GP[13]  
G0/  
EM_CS2/  
GP[12]  
C
GP[41]  
GP[42]  
(AEM0)  
(AEM1)  
B1/  
EM_A[15]/  
AD29/  
EM_D[2]/  
GP[49]  
R1/  
EM_A[0]/  
GP[7]/  
B0/  
EM_A[19]/  
PREQ/  
EM_D[6]/  
GP[45]  
EM_A[2]/  
(CLE)/GP[8]/  
(AEAW0/  
PLLMS0)  
LCD_FIELD/  
EM_A[3]/  
GP[11]  
B
V
V
SS  
(AEM2)  
G1/  
R0/  
EM_A[17]/  
AD31/  
EM_D[4]/  
GP[47]  
EM_A[1]/  
(ALE)/GP[9]/  
(AEAW1/  
PLLMS1)  
EM_A[4]/  
GP[10]/  
(AEAW2/  
PLLMS2)  
A
GP[43]  
12  
GP[53]  
13  
GP[54]  
14  
GP[52]  
15  
DV  
DD33  
SS  
11  
16  
17  
18  
19  
Figure 2-4. ZWT Pin Map [Quadrant C]  
18  
Device Overview  
Submit Documentation Feedback  
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
1
2
3
4
5
6
7
8
9
10  
AHCLKR0/  
CLKR0/  
GP[101]  
AXR0[1]/  
DX0/  
GP[104]  
CLKS0/  
TOUT0L/  
GP[97]  
V
SS  
J
H
G
F
J
DV  
DV  
V
CV  
V
CV  
DD  
DD33  
DD33  
SS  
DD  
SS  
ACLKR0/  
CLKX0/  
GP[99]  
AXR0[2]/  
FSX0/  
GP[103]  
AFSR0/  
DR0/  
GP[100]  
AXR0[0]/  
GP[105]  
DV  
DD33  
H
V
CV  
V
CV  
V
SS  
SS  
DD  
SS  
DD  
AXR0[3]/  
FSR0/  
GP[102]  
AHCLKX0/  
GP[108]  
AFSX0/  
GP[107]  
AMUTE0/  
GP[110]  
V
SS  
G
DV  
V
DV  
V
DV  
DD33  
DD33  
SS  
DD33  
SS  
ACLKX0/  
GP[106]  
AMUTEIN0/  
GP[109]  
GP[4]/  
PWM1  
V
SS  
F
DV  
V
DV  
V
DV  
V
SS  
DD33  
SS  
DD33  
SS  
DD33  
AD0/  
GP[0]  
AD1/  
GP[1]  
AD2/  
GP[2]  
AD4/  
GP[3]  
E
D
C
B
A
E
RSV1  
DV  
V
DV  
V
SS  
AD26  
DD33  
SS  
DD33  
HAS/  
MDIO/  
AD3/  
HRDY/  
MRXD2/  
PCBE0/  
GP[80]  
HCNTL1/  
MTXEN/  
AD11/  
HD14/  
MTXD0/  
AD15/  
HD12/  
MTXD2/  
PPAR/  
HD6/  
HD1/  
EM_A[6]/  
AD20/  
GP[95]  
EM_A[9]/  
PIDSEL/  
GP[92]  
EM_A[12]/  
PCBE3/  
GP[89]  
VLYNQ_TXD1/ VLYNQ_RXD0/  
PTRDY/  
GP[64]  
D
AD16/  
GP[59]  
GP[83]  
GP[75]  
GP[72]  
GP[70]  
HD0/  
VLYNQ_  
SCRUN/  
AD18/  
HCS/  
MDCLK/  
AD5/  
HINT/  
MRXD3/  
AD6/  
HDS2/  
MRXD0/  
AD9/  
HHWIL/  
MRXDV/  
AD13/  
HD11/  
MTXD3/  
PCBE1/  
GP[69]  
HD9/  
MCOL/  
PSTOP/  
GP[67]  
HD4/  
VLYNQ_RXD3/  
PFRAME/  
GP[62]  
EM_A[7]/  
AD22/  
GP[94]  
EM_A[11]/  
AD24/  
GP[90]  
C
GP[81]  
GP[82]  
GP[78]  
GP[74]  
GP[58]  
HDS1/  
MRXD1/  
AD7/  
HD13/  
MTXD1/  
AD14/  
HD10/  
MCRS/  
PSERR/  
GP[68]  
HD7/  
HD3/  
EM_A[13]/  
AD25/  
EM_D[0]/  
GP[51]  
HCNTL0/  
MRXER/  
AD10/  
EM_A[5]/  
AD19/  
GP[96]  
EM_A[8]/  
AD21/  
GP[93]  
VLYNQ_TXD2/ VLYNQ_RXD2/  
PDEVSEL/  
GP[65]  
B
V
SS  
PCBE2/  
GP[61]  
GP[76]  
GP[79]  
GP[71]  
HR/W/  
MRXCLK/  
AD8/  
HD15/  
MTXCLK/  
AD12/  
HD8/  
HD5/  
VLYNQ_  
CLOCK/  
PCICLK/  
GP[57]  
HD2/  
VLYNQ_RXD1/  
AD17/  
EM_A[14]/  
AD27/  
EM_D[1]/  
GP[50]  
EM_A[10]/  
AD23/  
GP[91]  
VLYNQ_TXD3/ VLYNQ_TXD0/  
PPERR/  
GP[66]  
A
DV  
DV  
DD33  
DD33  
PIRDY/  
GP[63]  
GP[77]  
GP[73]  
GP[60]  
1
2
3
4
5
6
7
8
9
10  
Figure 2-5. ZWT Pin Map [Quadrant D]  
Submit Documentation Feedback  
Device Overview  
19  
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
1
2
3
4
5
6
7
8
9
10  
11  
V
V
SS  
SS  
DDR_D[6]  
DDR_D[8]  
DDR_D[12]  
DDR_D[15]  
DDR_CLK0  
DDR_CLK0  
DDR_BS[1]  
DDR_BS[2]  
DDR_A[10]  
AB  
AA  
AB  
DV  
DDR_D[3]  
DDR_D[4]  
DDR_DQS[0] DDR_D[10]  
DDR_D[13] DDR_DQS[1]  
DDR_A[12]  
DDR_WE  
DDR_A[11]  
DDR_CS  
AA  
DDR_CKE  
DDR_RAS  
DDR_BS[0]  
DDR_CAS  
DDR2  
DDR_DQM[0]  
DDR_D[7]  
DDR_DQM[1]  
DDR_D[11]  
DDR_D[9]  
DDR_D[14]  
DDR_D[0]  
DDR_D[1]  
DDR_D[2]  
DDR_D[5]  
PCIEN  
Y
Y
W
V
V
SS  
V
DV  
V
DV  
V
SS  
DDR2  
SS  
DV  
DDR2  
SS  
DDR2  
W
DV  
TRST  
TDO  
EMU1  
POR  
SDA  
TMS  
TDI  
V
V
V
V
DDR2  
DV  
DV  
SS  
SS  
DV  
SS  
DV  
DDR2  
SS  
DDR2  
DDR2  
DDR2  
V
U
6
7
9
10  
8
11  
TCK  
V
DV  
U
SS  
DDR2  
EMU0  
RESETOUT  
RESET  
DV  
V
SS  
DD33  
T
T
CLKOUT0/  
PWM2/  
GP[84]  
V
SS  
DV  
DD33  
R
P
R
P
UCTS0/  
GP[87]  
TINP1L/  
GP[56]  
DV  
V
V
CV  
CV  
DD  
DD33  
SS  
SS  
DD  
P
N
UTXD0/  
GP[86]  
TOUT1L/  
GP[55]  
N
SCL  
V
DV  
N
CV  
V
V
V
SS  
DD33  
DD  
SS  
SS  
URTS0/  
PWM0/  
GP[88]  
URXD0/  
GP[85]  
M
V
RSV3  
4
V
M
CV  
9
CV  
10  
M
SS  
SS  
5
DD  
DD  
SS  
1
2
3
11  
Figure 2-6. ZDU Pin Map [Quadrant A]  
20  
Device Overview  
Submit Documentation Feedback  
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
12  
13  
14  
15  
16  
17  
18  
19  
20  
21  
22  
DDR_A[7]  
DDR_A[4]  
DDR_A[1]  
DDR_A[0]  
DDR_D[18]  
DDR_D[21]  
DDR_D[22]  
DDR_D[25]  
DDR_D[28]  
DV  
DV  
DDR2  
DDR2  
AB  
AA  
AB  
AA  
DDR_A[9]  
DDR_A[8]  
DDR_ZN  
DDR_A[6]  
DDR_A[5]  
DDR_ZP  
DDR_A[3]  
DDR_A[2]  
DDR_DQS[2] DDR_D[16]  
DDR_DQM[2] DDR_D[17]  
DDR_D[19] DDR_DQS[3] DDR_D[23]  
DDR_D[20] DDR_DQM[3] DDR_D[24]  
DDR_D[26]  
DDR_D[30]  
V
SS  
Y
DDR_D[27] DDR_D[29]  
DDR_D[31]  
Y
W
V
DDR_VDDDLL  
DDR_VSSDLL  
W
V
RSV5  
DV  
DDR_VREF  
DV  
V
V
V
SS  
DDR2  
DDR2  
SS  
SS  
DV  
V
DV  
V
V
V
V
DAC_IOUT_A DAC_VREF  
DAC_RBIAS DAC_IOUT_B  
DAC_IOUT_C DAC_IOUT_D  
DV  
V
DV  
V
DDR2  
SS  
DDR2  
SS  
SS  
DDA_1P8V  
SSA_1P8V  
DDA_1P1V  
DDR2  
SS  
DDR2  
16  
SS  
17  
13  
14  
12  
15  
U
T
V
V
V
V
U
T
SS  
V
SS  
SSA_1P1V  
R
V
V
V
V
R
P
SS  
SS  
SS  
SS  
SS  
P
N
P
N
M
DV  
RSV4  
DV  
V
DV  
DD33  
DD33  
DD33  
SS  
CV  
V
CV  
V
V
SS  
DD  
DD  
MXI/  
CLKIN  
V
DV  
PLL  
MXV  
MXV  
N
M
CV  
CV  
SS  
DD33  
PWR18  
DD  
DD  
DD  
SS  
SS  
V
V
DV  
V
SS  
M
DV  
MXO  
22  
SS  
SS  
DD33  
DD33  
SS  
18  
19  
20  
21  
12  
13  
14  
Figure 2-7. ZDU Pin Map [Quadrant B]  
Submit Documentation Feedback  
Device Overview  
21  
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
18  
19  
20  
21  
22  
12  
SS  
13  
14  
YOUT5/  
GP[27]/  
(LENDIAN)  
YOUT2/  
GP[24]/  
L
V
V
CV  
CV  
L
K
J
V
L
DV  
V
SS  
DD  
DD  
DD  
SS  
DD33  
(BOOTMODE2)  
YOUT4/  
GP[26]/  
(FASTBOOT)  
YOUT1/  
GP[23]/  
YOUT7/  
GP[29]  
VPBECLK/  
GP[30]  
V
CV  
SS  
SS  
K
K
DV  
DD33  
(BOOTMODE1)  
YOUT0/  
GP[22]/  
HSYNC/  
EM_CS5/  
GP[33]  
YOUT6/  
GP[28]  
CV  
CV  
V
DD  
J
DD  
SS  
V
DV  
J
SS  
DD33  
(BOOTMODE0)  
YOUT3/  
GP[25]/  
COUT7/  
EM_D[7]/  
GP[21]  
VSYNC/  
EM_CS4/  
GP[32]  
H
G
F
V
H
DV  
SS  
DD33  
(BOOTMODE3)  
COUT1/  
EM_D[1]/  
GP[15]  
COUT4/  
EM_D[4]/  
GP[18]  
VCLK/  
GP[31]  
V
DV  
G
F
SS  
DD33  
COUT3/  
EM_D[3]/  
GP[17]  
COUT6/  
EM_D[6]/  
GP[20]  
COUT5/  
EM_D[5]/  
GP[19]  
DV  
V
SS  
DD33  
12  
13  
14  
15  
16  
17  
R2/  
EM_BA[0]/  
GP[6]/  
COUT0/  
EM_D[0]/  
GP[14]  
COUT2/  
EM_D[2]/  
GP[16]  
E
E
V
DV  
V
DV  
V
DV  
V
V
DV  
DD33  
SS  
DD33  
SS  
DD33  
SS  
DD33  
SS  
(AEM1)  
B0/  
LCD_OE/  
EM_CS3/  
GP[13]  
EM_WAIT/  
(RDY/BSY)  
LCD_FIELD/  
EM_A[3]/  
GP[11]  
D
D
C
B
A
AD26  
AD28  
AD30  
V
DV  
DV  
EM_OE  
EM_WE  
GP[36]  
SS  
DD33  
SS  
DD33  
R1/  
EM_A[0]/  
GP[7]/  
EM_A[15]/  
AD29/  
EM_D[2]/  
GP[49]  
EM_A[19]/  
PREQ/  
EM_D[6]/  
GP[45]  
EM_A[20]/  
PINTA/  
EM_D[7]/  
GP[44]  
B2/  
EM_BA[1]/  
GP[5[/  
EM_A[11]/  
AD24/  
GP[90]  
G0/  
EM_CS2/  
GP[12]  
EM_A[21]/  
GP[34]  
EM_R/W/  
GP[35]  
C
B
A
GP[40]  
GP[37]  
(AEM2)  
(AEM0)  
G1/  
R0/  
EM_A[16]/  
PGNT/  
EM_D[3]/  
GP[48]  
EM_A[17]/  
AD31/  
EM_D[4]/  
GP[47]  
EM_A[1]/  
(ALE)/GP[9]/  
(AEAW1/  
PLLMS1)  
EM_A[4]/  
GP[10]/  
(AEAW2/  
PLLMS2)  
EM_A[12]/  
PCBE3/  
GP[89]  
GP[42]  
GP[41]  
GP[38]  
V
V
SS  
B1/  
EM_A[13]/  
AD25/  
EM_D[0]/  
GP[51]  
EM_A[14]/  
AD27/  
EM_D[1]/  
GP[50]  
EM_A[18]/  
PRST/  
EM_D[5]/  
GP[46]  
EM_A[2]/  
(CLE)/GP[8]/  
(AEAW0/  
PLLMS0)  
GP[43]  
15  
GP[39]  
16  
GP[53]  
17  
GP[54]  
18  
GP[52]  
19  
DV  
DD33  
SS  
12  
13  
14  
20  
21  
22  
Figure 2-8. ZDU Pin Map [Quadrant C]  
22  
Device Overview  
Submit Documentation Feedback  
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
1
2
3
4
5
9
10  
V
11  
V
CLKS0/  
TOUT0L/  
GP[97]  
TINP0L/  
GP[98]  
L
L
K
J
CV  
L
K
J
DV  
DD33  
RSV2  
DV  
DD33  
DD  
DD  
SS  
SS  
AHCLKR0/  
CLKR0/  
GP[101]  
AXR0[1]/  
DX0/  
GP[104]  
AFSR0/  
DR0/  
GP[100]  
V
CV  
V
V
SS  
K
SS  
SS  
DV  
DD33  
ACLKR0/  
CLKX0/  
AXR0[2]/  
FSX0/  
AXR0[3]/  
FSR0/  
DV  
J
V
V
CV  
CV  
DD  
DD33  
SS  
SS  
DD  
GP[99]  
GP[103]  
GP[102]  
AHCLKX0/  
H
AXR0[0]/  
GP[105]  
AMUTE0/  
GP[110]  
V
H
G
F
DV  
SS  
DD33  
GP[108]  
ACLKX0/  
G
AFSX0/  
GP[107]  
AMUTEIN0/  
GP[109]  
DV  
V
DD33  
SS  
GP[106]  
GP[4]/  
PWM1  
V
F
SS  
AD2/  
AD4/  
GP[3]  
DV  
DD33  
GP[2]  
6
7
8
9
10  
11  
AD0/  
E
AD1/  
GP[1]  
V
V
V
E
DV  
DV  
DV  
DV  
V
DV  
DD33  
SS  
SS  
SS  
DD33  
DD33  
DD33  
DD33  
SS  
GP[0]  
HCS/  
HINT/  
MRXD3/  
AD6/  
HHWIL/  
MRXDV/  
AD13/  
MDCLK/  
D
V
V
SS  
D
C
B
A
SS  
RSV1  
V
DV  
DV  
DV  
V
SS  
SS  
DD33  
DD33  
DD33  
AD5/  
GP[81]  
GP[82]  
GP[74]  
HAS/  
MDIO/  
AD3/  
GP[83]  
HDS2/  
MRXD0/  
AD9/  
HRDY/  
MRXD2/  
PCBE0/  
GP[80]  
HCNTL1/  
MTXEN/  
AD11/  
HD12/  
MTXD2/  
PPAR/  
HD9/  
HD6/  
HD4/  
HD1/  
EM_A[7]/  
AD22/  
GP[94]  
EM_A[9]/  
PIDSEL/  
GP[92]  
MCOL/  
PSTOP/  
GP[67]  
VLYNQ_TXD1/ VLYNQ_RXD3/ VLYNQ_RXD0/  
PTRDY/  
GP[64]  
C
PFRAME/  
GP[62]  
AD16/  
GP[59]  
GP[78]  
GP[75]  
GP[70]  
HD0/  
VLYNQ_  
SCRUN/  
AD18/  
HCNTL0/  
MRXER/  
AD10/  
HDS1/  
MRXD1/  
AD7/  
HD13/  
MTXD1/  
AD14/  
HD14/  
MTXD0/  
AD15/  
HD10/  
MCRS/  
PSERR/  
GP[68]  
HD7/  
HD3/  
EM_A[6]/  
AD20/  
GP[95]  
EM_A[10]/  
AD23/  
GP[91]  
VLYNQ_TXD2/ VLYNQ_RXD2/  
B
DV  
DD33  
PDEVSEL/  
GP[65]  
PCBE2/  
GP[61]  
GP[76]  
GP[79]  
GP[71]  
GP[72]  
GP[58]  
HD5/  
VLYNQ_TXD0/PI  
RDY/  
HR/W/  
MRXCLK/  
AD8/  
HD15/  
MTXCLK/  
AD12/  
HD11/  
MTXD3/  
PCBE1/  
GP[69]  
HD8/  
VLYNQ_TXD3/  
PPERR/  
GP[66]  
VLYNQ_  
CLOCK/  
PCICLK/  
GP[57]  
HD2/  
VLYNQ_RXD1/  
AD17/  
EM_A[5]/  
AD19/  
GP[96]  
EM_A[8]/  
AD21/  
GP[93]  
A
V
DV  
SS  
DD33  
2
GP[63]  
GP[77]  
GP[73]  
GP[60]  
6
1
3
4
5
7
8
9
10  
11  
Figure 2-9. ZDU Pin Map [Quadrant D]  
Submit Documentation Feedback  
Device Overview  
23  
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
2.6 Terminal Functions  
The terminal functions tables (Table 2-5 through Table 2-31) identify the external signal names, the  
associated pin (ball) numbers along with the mechanical package designator, the pin type, whether the pin  
has any internal pullup or pulldown resistors, and a functional pin description. For more detailed  
information on device configuration, peripheral selection, multiplexed/shared pin, and debugging  
considerations, see the Device Configurations section of this data manual.  
All device boot and configuration pins (except PCIEN) are multiplexed configuration pins— meaning they  
are multiplexed with functional pins. These pins function as device boot and configuration pins only during  
device reset. The input states of these pins are sampled and latched into the BOOTCFG register when  
device reset is deasserted (see Note below). After device reset is deasserted, the values on these  
multiplexed pins no longer have to hold the configuration.  
The PCIEN pin is a standalone configuration pin. Its value is latched into the BOOTCFG register when  
device reset is deasserted (see Note below). Unlike the multiplexed device boot and configuration pins,  
the value on the PCIEN pin even after device reset is deasserted must hold the configuration.  
For proper device operation, external pullup/pulldown resistors may be required on these device boot and  
configuration pins. Section 3.9.1, Pullup/Pulldown Resistors discusses situations where external  
pullup/pulldown resistors are required.  
Note: Internal to the chip, the two device reset pins RESET and POR are logically AND’d together for the  
purpose of latching device boot and configuration pins. The values on all device boot and configuration  
pins are latched into the BOOTCFG register when the logical AND of RESET and POR transitions from  
low-to-high.  
Table 2-5. BOOT Terminal Functions  
SIGNAL  
TYPE(1) OTHER(2)(3)  
DESCRIPTION  
ZWT  
NO.  
ZDU  
NO.  
NAME  
BOOT  
YOUT3/GP[25]/  
(BOOTMODE3)  
G16  
G15  
F15  
F18  
H21  
L20  
K20  
J20  
Bootmode configuration bits. These bootmode functions along with  
the FASTBOOT function determine what device bootmode  
configuration is selected.  
The DM6433 device supports several types of bootmodes along with  
a FASTBOOT option; for more details on the types/options, see  
Section 3.4.1, Boot Modes.  
YOUT2/GP[24]/  
(BOOTMODE2)  
IPD  
I/O/Z  
DVDD33  
YOUT1/GP[23]/  
(BOOTMODE1)  
YOUT0/GP[22]/  
(BOOTMODE0)  
Fast Boot  
0 = Not Fast Boot  
1 = Fast Boot  
YOUT4/GP26]/  
(FASTBOOT)  
IPD  
I/O/Z  
G17  
A17  
A16  
K19  
B21  
B20  
DVDD33  
R0/EM_A[4]/  
GP[10]/(AEAW2/PLL  
MS2)  
EMIFA Address Bus Width (AEAW) and Fast Boot PLL Multiplier  
Select (PLLMS).  
These configuration pins serve two purposes which are based on  
AEM[2:0] settings.  
For AEM[2:0] = 001 [8-bit EMIFA (Async) Pinout Mode 1], the  
AEAW/PLLMS pins serve as the AEAW function to select EMIFA  
Address Bus Width.  
IPD  
I/O/Z  
DVDD33  
G1/EM_A[1]/(ALE)/  
GP[9]/  
(AEAW1/PLLMS1)  
IPD  
I/O/Z  
DVDD33  
For all other AEM modes, the AEAW/PLLMS pins select the PLL  
multiplier for fast boot.  
For more details, see Section 3.5.1.2, EMIFA Address Width Select  
(AEAW) and Fast Boot PLL Multipler Select (PLLMS).  
B1/EM_A[2]/(CLE)/G  
P[8]/  
(AEAW0/PLLMS0)  
IPD  
I/O/Z  
B16  
A20  
DVDD33  
(1) I = Input, O = Output, Z = High impedance, S = Supply voltage, GND = Ground, A = Analog signal  
(2) IPD = Internal pulldown, IPU = Internal pullup.For more detailed information on pullup/pulldown resistors and situations where external  
pullup/pulldown resistors are required, see Section 3.9.1, Pullup/Pulldown Resistors.  
(3) Specifies the operating I/O supply voltage for each signal  
24  
Device Overview  
Submit Documentation Feedback  
 
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
Table 2-5. BOOT Terminal Functions (continued)  
SIGNAL  
ZWT  
TYPE(1) OTHER(2)(3)  
DESCRIPTION  
ZDU  
NO.  
NAME  
NO.  
R1/EM_A[0]/  
GP[7]/(AEM2)  
IPD  
I/O/Z  
Selects EMIFA Pinout Mode  
B17  
C21  
E20  
DVDD33  
The DM6433 supports the following EMIFA Pinout Modes:  
R2/EM_BA[0]/  
GP[6]/(AEM1)  
IPD  
I/O/Z  
C17  
C16  
AEM[2:0] = 000, No EMIFA  
DVDD33  
AEM[2:0] = 001, 8-bit EMIFA (Async) Pinout Mode 1  
AEM[2:0] = 011, 8-bit EMIFA (Async) Pinout Mode 3  
AEM[2:0] = 100, 8-bit EMIFA (NAND) Pinout Mode 4  
AEM[2:0] = 101, 8-bit EMIFA (NAND) Pinout Mode 5  
B2/EM_BA[1]/  
GP[5]/(AEM0)  
IPD  
I/O/Z  
C20  
J21  
DVDD33  
This signal doesn't actually affect the EMIFA module. It only affects  
how the EMIFA is pinned out.  
For proper DM6433 device operation, if this pin is both routed and  
3-stated (not driven) during device reset, it must be pulled down via  
an external resistor. For more detailed information on  
pullup/pulldown resistors, see Section 3.9.1, Pullup/Pulldown  
Resistors.  
YOUT6/  
GP[28]  
IPD  
I/O/Z  
H16  
DVDD33  
PCI Enable  
0 = PCI pin function is disabled [default]  
1 = PCI pin function is enabled  
IPD  
DVDD33  
PCIEN  
T3  
W3  
I
For proper DM6433 device operation, if this pin is both routed and  
3-stated (not driven) during device reset, it must be pulled up via an  
external resistor. For more detailed information on pullup/pulldown  
resistors, see Section 3.9.1, Pullup/Pulldown Resistors.  
IPU  
I/O/Z  
YOUT5/GP[27]  
H17  
L19  
DVDD33  
Submit Documentation Feedback  
Device Overview  
25  
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
Table 2-6. Oscillator/PLL Terminal Functions  
SIGNAL  
ZWT  
TYPE(1)  
OTHER(2)  
DESCRIPTION  
ZDU  
NO.  
NAME  
NO.  
OSCILLATOR, PLL  
Crystal input MXI for MX oscillator (system oscillator, typically 27 MHz).  
If the internal oscillator is bypassed, this is the external oscillator clock  
input.(3)  
MXI/  
CLKIN  
K19  
N22  
I
MXVDD  
MXO  
J19  
L18  
M22  
N21  
O
S
MXVDD  
Crystal output for MX oscillator  
1.8 V power supply for MX oscillator. On the board, this pin can be  
connected to the same 1.8 V power supply as DVDDR2  
(4)  
MXVDD  
.
(4)  
(4)  
MXVSS  
K18  
L16  
M21  
N20  
GND  
S
Ground for MX oscillator  
PLLPWR18  
1.8 V power supply for PLLs  
(1) I = Input, O = Output, Z = High impedance, S = Supply voltage, GND = Ground, A = Analog signal  
(2) Specifies the operating I/O supply voltage for each signal  
(3) For more information on external board connections, see Section 6.6, External Clock Input From MXI/CLKIN Pin.  
(4) For more information, see the Recommended Operating Conditions table  
Table 2-7. Clock Generator Terminal Functions  
SIGNAL  
TYPE(1) OTHER(2)(3)  
DESCRIPTION  
ZWT  
NO.  
ZDU  
NO.  
NAME  
CLOCK GENERATOR  
This pin is multiplexed between the System Clock generator (PLL1), PWM2,  
and GPIO.  
CLKOUT0/  
PWM2/GP[84]  
IPD  
I/O/Z  
M1  
R1  
For the System Clock generator (PLL1), it is clock output CLKOUT0. This is  
configurable for 27 MHz or other 27 MHz-divided-down (/1 to /32) clock  
outputs.  
DVDD33  
(1) I = Input, O = Output, Z = High impedance, S = Supply voltage, GND = Ground, A = Analog signal  
(2) IPD = Internal pulldown, IPU = Internal pullup. For more detailed information on pullup/pulldown resistors and situations where external  
pullup/pulldown resistors are required, see Section 3.9.1, Pullup/Pulldown Resistors.  
(3) Specifies the operating I/O supply voltage for each signal  
26  
Device Overview  
Submit Documentation Feedback  
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
Table 2-8. RESET and JTAG Terminal Functions  
SIGNAL  
ZWT  
TYPE(1) OTHER(2)(3)  
RESET  
DESCRIPTION  
ZDU  
NO.  
NAME  
NO.  
IPU  
DVDD33  
RESET  
RESETOUT  
POR  
M4  
N3  
N4  
R3  
T3  
R2  
I
Device reset  
O/Z  
Reset output status pin. The RESETOUT pin indicates when the  
device is in reset.  
DVDD33  
IPU  
DVDD33  
I
Power-on reset.  
JTAG  
IPU  
DVDD33  
JTAG test-port mode select input.  
For proper device operation, do not oppose the IPU on this pin.  
TMS  
TDO  
TDI  
R3  
P3  
P4  
N1  
V3  
U2  
U3  
U1  
I
O/Z  
JTAG test-port data output  
JTAG test-port data input  
JTAG test-port clock input  
DVDD33  
IPU  
DVDD33  
I
IPU  
DVDD33  
TCK  
I
JTAG test-port reset. For IEEE 1149.1 JTAG compatibility, see  
the IEEE 1149.1 JTAG compatibility statement portion of this data  
sheet  
IPD  
DVDD33  
TRST  
R2  
V2  
I
IPU  
I/O/Z  
EMU1  
EMU0  
N2  
P2  
T2  
T1  
Emulation pin 1  
Emulation pin 0  
DVDD33  
IPU  
I/O/Z  
DVDD33  
(1) I = Input, O = Output, Z = High impedance, S = Supply voltage, GND = Ground, A = Analog signal  
(2) IPD = Internal pulldown, IPU = Internal pullup. For more detailed information on pullup/pulldown resistors and situations where external  
pullup/pulldown resistors are required, see Section 3.9.1, Pullup/Pulldown Resistors.  
(3) Specifies the operating I/O supply voltage for each signal  
Submit Documentation Feedback  
Device Overview  
27  
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
Table 2-9. EMIFA Terminal Functions (Boot Configuration)  
SIGNAL  
ZWT  
TYPE(1)  
OTHER(2)(3)  
DESCRIPTION  
ZDU  
NO.  
NAME  
NO.  
EMIFA: BOOT CONFIGURATION  
R0/EM_A[4]/  
GP[10]/  
(AEAW2/PLLMS2)  
These pins are multiplexed between the VPBE (VENC), EMIFA, and  
GPIO. When RESET or POR is asserted, these pins function as  
EMIFA configuration pins. At reset if AEM[2:0] = 001 (EMIFA in 8-bit  
Async mode), then the input states of AEAW[2:0] are sampled to set  
the EMIFA Address Bus Width. On DM6433, AEAW[2:0] must be set  
to 100b if AEM[2:0] = 001b. After reset, these pins function as VPBE  
(VENC), EMIFA, or GPIO pin functions based on pin mux selection.  
For more details on the AEAW/PLLMS functions, see Section 3.5.1.2,  
EMIFA Address Bus Width (AEAW) and Fast Boot PLL Multiplier  
Select (PLLMS).  
IPD  
DVDD33  
A17  
A16  
B16  
B21  
B20  
A20  
I/O/Z  
I/O/Z  
I/O/Z  
G1/EM_A[1]/  
(ALE)/GP[9]/  
(AEAW1/PLLMS1)  
IPD  
DVDD33  
B1/EM_A[2]/  
(CLE)/GP[8]/  
(AEAW0/PLLMS0)  
DVDD33  
B2/EM_BA[1]/  
GP[5]/(AEM0)  
IPD  
DVDD33  
These pins are multiplexed between the VPBE (VENC), EMIFA, and  
GPIO. When RESET or POR is asserted, these pins function as  
EMIFA configuration pins. At reset, the input states of AEM[2:0] are  
sampled to set the EMIFA Pinout Mode.  
For more details, see Section 3.5.1, Configurations at Reset. After  
reset, these pins function as VPBE (VENC), EMIFA, or GPIO pin  
functions based on pin mux selection.  
C16  
C17  
C20  
E20  
I/O/Z  
I/O/Z  
R2/EM_BA[0]/  
GP[6]/(AEM1)  
IPD  
DVDD33  
R1/ EM_A[0]/  
GP[7]/(AEM2)  
IPD  
DVDD33  
B17  
C21  
I/O/Z  
For more details on the AEM functions, see Section 3.5.1.1, EMIFA  
Pinout Mode (AEM[2:0]).  
(1) I = Input, O = Output, Z = High impedance, S = Supply voltage, GND = Ground, A = Analog signal  
(2) IPD = Internal pulldown, IPU = Internal pullup. For more detailed information on pullup/pulldown resistors and situations where external  
pullup/pulldown resistors are required, see Section 3.9.1, Pullup/Pulldown Resistors.  
(3) Specifies the operating I/O supply voltage for each signal  
28  
Device Overview  
Submit Documentation Feedback  
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
Table 2-10. EMIFA Terminal Functions (EMIFA Pinout Mode 1, AEM[2:0] = 001)  
SIGNAL  
ZWT  
NO.  
TYPE(1)  
OTHER(2)(3)  
DESCRIPTION  
ZDU  
NO.  
NAME  
EMIFA FUNCTIONAL PINS: 8-Bit ASYNC/NOR (EMIFA Pinout Mode 1, AEM[2:0] = 001)  
Actual pin functions are determined by the PINMUX0 and PINMUX1 register bit settings (e.g., PCIEN, AEAW[2:0], AEM[2:0], etc.). For  
more details, see Section 3.7, Multiplexed Pin Configurations.  
This pin is multiplexed between VPBE (VENC), EMIFA, and GPIO.  
For EMIFA, this pin is Chip Select 2 output EM_CS2 for use with  
asynchronous memories (i.e., NOR flash).  
G0/EM_CS2/  
GP[12]  
IPD  
DVDD33  
This is the chip select for the default boot and ROM boot modes.  
C19  
C22  
I/O/Z  
Note: This pin features an internal pulldown (IPD). If this pin is  
connected and used as an EMIFA chip select signal, for proper device  
operation, an external pullup resistor must be used to ensure the  
EM_CSx function defaults to an inactive (high) state.  
This pin is multiplexed between VPBE (VENC), EMIFA, and GPIO.  
For EMIFA, this pin is Chip Select 3 output EM_CS3 for use with  
asynchronous memories (i.e., NOR flash).  
LCD_OE/EM_CS3/  
GP[13]  
IPD  
DVDD33  
C18  
D22  
H22  
I/O/Z  
I/O/Z  
Note: This pin features an internal pulldown (IPD). If this pin is  
connected and used as an EMIFA chip select signal, for proper device  
operation, an external pullup resistor must be used to ensure the  
EM_CSx function defaults to an inactive (high) state.  
This pin is multiplexed between VPBE (VENC), EMIFA, and GPIO.  
For EMIFA, it is Chip Select 4 output EM_CS4 for use with  
asynchronous memories (i.e., NOR flash).  
VSYNC/EM_CS4/  
GP[32]  
IPD  
DVDD33  
E19  
Note: This pin features an internal pulldown (IPD). If this pin is  
connected and used as an EMIFA chip select signal, for proper device  
operation, an external pullup resistor must be used to ensure the  
EM_CSx function defaults to an inactive (high) state.  
This pin is multiplexed between VPBE (VENC), EMIFA, and GPIO.  
For EMIFA, it is Chip Select 5 output EM_CS5 for use with  
asynchronous memories (i.e., NOR flash).  
HSYNC/EM_CS5/  
GP[33]  
IPD  
DVDD33  
F19  
D13  
J22  
I/O/Z  
I/O/Z  
Note: This pin features an internal pulldown (IPD). If this pin is  
connected and used as an EMIFA chip select signal, for proper device  
operation, an external pullup resistor must be used to ensure the  
EM_CSx function defaults to an inactive (high) state.  
This pin is multiplexed between EMIFA and GPIO.  
For EMIFA, it is read/write output EM_R/W.  
EM_R/W/  
GP[35]  
IPD  
DVDD33  
C17  
EM_WAIT/  
(RDY/BSY)  
IPU  
DVDD33  
For EMIFA (ASYNC/NOR), this pin is wait state extension input  
EM_WAIT.  
E15  
D15  
E14  
D20  
D19  
C19  
I/O/Z  
I/O/Z  
I/O/Z  
IPU  
DVDD33  
EM_OE  
EM_WE  
For EMIFA, it is output enable output EM_OE.  
IPU  
DVDD33  
For EMIFA, it is write enable output EM_WE.  
This pin is multiplexed between VPBE (VENC), EMIFA, and GPIO.  
R2/EM_BA[0]/  
GP[6]/(AEM1)  
IPD  
DVDD33  
For EMIFA, this is the Bank Address 0 output (EM_BA[0]). When  
connected to an 8-bit asynchronous memory, this pin is the lowest  
order bit of the byte address.  
C17  
C16  
E20  
C20  
I/O/Z  
I/O/Z  
This pin is multiplexed between VPBE (VENC), EMIFA, and GPIO.  
B2/EM_BA[1]/  
GP[5]/(AEM0)  
IPD  
DVDD33  
For EMIFA, this is the Bank Address 1 output EM_BA[1]. When  
connected to an 8-bit asynchronous memory, this pin is the 2nd bit of  
the address.  
(1) I = Input, O = Output, Z = High impedance, S = Supply voltage, GND = Ground, A = Analog signal  
(2) IPD = Internal pulldown, IPU = Internal pullup. For more detailed information on pullup/pulldown resistors and situations where external  
pullup/pulldown resistors are required, see Section 3.9.1, Pullup/Pulldown Resistors.  
(3) Specifies the operating I/O supply voltage for each signal  
Submit Documentation Feedback  
Device Overview  
29  
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
Table 2-10. EMIFA Terminal Functions (EMIFA Pinout Mode 1, AEM[2:0] = 001) (continued)  
SIGNAL  
TYPE(1)  
OTHER(2)(3)  
DESCRIPTION  
ZWT  
NO.  
ZDU  
NO.  
NAME  
This pin is multiplexed between EMIFA and GPIO.  
IPD  
DVDD33  
EM_A[21]/GP[34]  
D12  
C16  
I/O/Z  
For EMIFA, it is address bit 21 output EM_A[21].  
This pin is multiplexed between EMIFA, PCI, and GPIO.  
EM_A[20]/PINTA/  
EM_D[7]/GP[44]  
IPD  
DVDD33  
C12  
C15  
I/O/Z  
For EMIFA (AEM[2:0] = 001), this pin is address bit 20 output  
EM_A[20] if AEAW[2:0] = 100b.  
This pin is multiplexed between EMIFA, PCI, and GPIO.  
EM_A[19]/PREQ/  
EM_D[6]/GP[45]  
IPD  
DVDD33  
B12  
D11  
A11  
C11  
B11  
A10  
B10  
C14  
A14  
B14  
B13  
C13  
A13  
A12  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
For EMIFA (AEM[2:0] = 001), this pin is address bit 19 output  
EM_A[19] if AEAW[2:0] = 100b.  
This pin is multiplexed between EMIFA, PCI, and GPIO.  
EM_A[18]/PRST/  
EM_D[5]/GP[46]  
IPD  
DVDD33  
For EMIFA (AEM[2:0] = 001), this pin is address bit 18 output  
EM_A[18] if AEAW[2:0] = 100b.  
This pin is multiplexed between EMIFA, PCI, and GPIO.  
EM_A[17]/AD31/  
EM_D[4]/GP[47]  
IPD  
DVDD33  
For EMIFA (AEM[2:0] = 001), this pin is address bit 17 output  
EM_A[17] if AEAW[2:0] = 100b.  
This pin is multiplexed between EMIFA, PCI, and GPIO.  
EM_A[16]/PGNT/  
EM_D[3]/GP[48]  
IPD  
DVDD33  
For EMIFA (AEM[2:0] = 001), this pin is address bit 16 output  
EM_A[16] if AEAW[2:0] = 100b.  
This pin is multiplexed between EMIFA, PCI, and GPIO.  
EM_A[15]/AD29/  
EM_D[2]/GP[49]  
IPD  
DVDD33  
For EMIFA (AEM[2:0] = 001), this pin is address bit 15 output  
EM_A[15] if AEAW[2:0] = 100b.  
This pin is multiplexed between EMIFA, PCI, and GPIO.  
EM_A[14]/AD27/  
EM_D[1]/GP[50]  
IPD  
DVDD33  
For EMIFA (AEM[2:0] = 001), this pin is address bit 14 output  
EM_A[14] if AEAW[2:0] = 100b.  
This pin is multiplexed between EMIFA, PCI, and GPIO.  
EM_A[13]/AD25/  
EM_D[0]/GP[51]  
IPD  
DVDD33  
For EMIFA (AEM[2:0] = 001), this pin is address bit 13 output  
EM_A[13] if AEAW[2:0] = 100b.  
This pin is multiplexed between EMIFA, PCI, and GPIO.  
EM_A[12]/PCBE3/  
GP[89]  
IPD  
DVDD33  
D10  
C10  
A9  
B12  
C12  
B11  
C11  
A11  
C10  
B10  
A10  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
For EMIFA, it is address bit 12 output EM_A[12].  
This pin is multiplexed between EMIFA, PCI, and GPIO.  
EM_A[11]/AD24/  
GP[90]  
IPD  
DVDD33  
For EMIFA, it is address bit 11 output EM_A[11].  
This pin is multiplexed between EMIFA, PCI, and GPIO.  
EM_A[10]/AD23/  
GP[91]  
IPD  
DVDD33  
For EMIFA, it is address bit 10 output EM_A[10].  
This pin is multiplexed between EMIFA, PCI, and GPIO.  
EM_A[9]/PIDSEL/  
GP[92]  
IPD  
DVDD33  
D9  
B9  
For EMIFA, it is address bit 9 output EM_A[9].  
This pin is multiplexed between EMIFA, PCI, and GPIO.  
EM_A[8]/AD21/  
GP[93]  
IPD  
DVDD33  
For EMIFA, it is address bit 8 output EM_A[8].  
This pin is multiplexed between EMIFA, PCI, and GPIO.  
EM_A[7]/AD22/  
GP[94]  
IPD  
DVDD33  
C9  
D8  
B8  
For EMIFA, it is address bit 7 output EM_A[7].  
This pin is multiplexed between EMIFA, PCI, and GPIO.  
EM_A[6]/AD20/  
GP[95]  
IPD  
DVDD33  
For EMIFA, it is address bit 6 output EM_A[6].  
This pin is multiplexed between EMIFA, PCI, and GPIO.  
EM_A[5]/AD19/  
GP[96]  
IPD  
DVDD33  
For EMIFA, it is address bit 5 output EM_A[5].  
R0/EM_A[4]/GP[10  
]/  
(AEAW2/PLLMS2)  
This pin is multiplexed between VPBE (VENC), EMIFA, and GPIO.  
For EMIFA, it is address bit 4 output EM_A[4].  
IPD  
DVDD33  
A17  
B21  
I/O/Z  
30  
Device Overview  
Submit Documentation Feedback  
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
Table 2-10. EMIFA Terminal Functions (EMIFA Pinout Mode 1, AEM[2:0] = 001) (continued)  
SIGNAL  
TYPE(1)  
OTHER(2)(3)  
DESCRIPTION  
ZWT  
NO.  
ZDU  
NO.  
NAME  
This pin is multiplexed between VPBE (VENC), EMIFA, and GPIO.  
For EMIFA, it is address bit 3 output EM_A[3].  
B0/LCD_FIELD/  
EM_A[3]/GP[11]  
IPD  
DVDD33  
B18  
B16  
D21  
A20  
I/O/Z  
I/O/Z  
B1/EM_A[2]/(CLE)/  
GP[8]/  
(AEAW0/PLLMS0)  
This pin is multiplexed between VPBE (VENC), EMIFA, and GPIO.  
For EMIFA, it is address bit 2 output EM_A[2].  
IPD  
DVDD33  
G1/EM_A[1]/(ALE)/  
GP[9]/  
(AEAW1/PLLMS1)  
This pin is multiplexed between VPBE (VENC), EMIFA, and GPIO.  
For EMIFA, it is address output EM_A[1].  
IPD  
DVDD33  
A16  
B17  
B20  
C21  
I/O/Z  
I/O/Z  
This pin is multiplexed between VPBE (VENC), EMIFA, and GPIO.  
For EMIFA, this is Address output EM_A[0], which is the least  
significant bit on a 32-bit word address.  
For an 8-bit asynchronous memory, this pin is the 3rd bit of the  
address.  
R1/ EM_A[0]/  
GP[7]/(AEM2)  
IPD  
DVDD33  
COUT0/EM_D0/  
GP[14]  
IPD  
DVDD33  
D16  
D18  
D17  
E16  
E18  
E17  
F16  
F17  
E21  
G20  
E22  
F20  
G21  
F22  
F21  
H20  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
COUT1/EM_D1/  
GP[15]  
IPD  
DVDD33  
COUT2/EM_D2/  
GP[16]  
IPD  
DVDD33  
These pins are multiplexed between VPBE (VENC), EMIFA, and  
GPIO.  
COUT3/EM_D3/  
GP[17]  
IPD  
DVDD33  
COUT4/EM_D4/  
GP[18]  
IPD  
DVDD33  
For EMIFA (AEM[2:0] = 001), these pins are the 8-bit bi-directional  
data bus (EM_D[7:0]).  
COUT5/EM_D5/  
GP[19]  
IPD  
DVDD33  
COUT6/EM_D6/  
GP[20]  
IPD  
DVDD33  
COUT7/EM_D7/  
GP[21]  
IPD  
DVDD33  
EMIFA FUNCTIONAL PINS: 8-Bit NAND (EMIFA Pinout Mode 1, AEM[2:0] = 001)  
This pin is multiplexed between VPBE (VENC), EMIFA (NAND), and  
GPIO.  
G1/EM_A[1]/(ALE)/  
GP[9]/  
(AEAW1/PLLMS1)  
IPD  
DVDD33  
A16  
B16  
B20  
A20  
I/O/Z  
I/O/Z  
When used for EMIFA (NAND) , this pin is the Address Latch Enable  
output (ALE).  
This pin is multiplexed between VPBE (VENC), EMIFA (NAND), and  
GPIO.  
B1/EM_A[2]/(CLE)/  
GP[8]/  
(AEAW0/PLLMS0)  
IPD  
DVDD33  
When used for EMIFA (NAND), this pin is the Command Latch Enable  
output (CLE).  
EM_WAIT/  
(RDY/BSY)  
IPU  
DVDD33  
E15  
D15  
E14  
D20  
D19  
C19  
I/O/Z  
I/O/Z  
I/O/Z  
When used for EMIFA (NAND), it is ready/busy input (RDY/BSY).  
When used for EMIFA (NAND), this pin is read enable output (RE).  
When used for EMIFA (NAND), this pin is write enable output (WE).  
IPU  
DVDD33  
EM_OE  
EM_WE  
IPU  
DVDD33  
This pin is multiplexed between VPBE (VENC), EMIFA (NAND), and  
GPIO.  
For EMIFA (NAND), this pin is Chip Select 2 output EM_CS2 for use  
with NAND flash.  
This is the chip select for the default boot and ROM boot modes.  
G0/EM_CS2/  
GP[12]  
IPD  
DVDD33  
C19  
C22  
I/O/Z  
Note: This pin features an internal pulldown (IPD). If this pin is  
connected and used as an EMIFA chip select signal, for proper device  
operation, an external pullup resistor must be used to ensure the  
EM_CSx function defaults to an inactive (high) state.  
Submit Documentation Feedback  
Device Overview  
31  
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
Table 2-10. EMIFA Terminal Functions (EMIFA Pinout Mode 1, AEM[2:0] = 001) (continued)  
SIGNAL  
TYPE(1)  
OTHER(2)(3)  
DESCRIPTION  
ZWT  
NO.  
ZDU  
NO.  
NAME  
This pin is multiplexed between VPBE (VENC), EMIFA (NAND), and  
GPIO.  
For EMIFA (NAND), this pin is Chip Select 3 output EM_CS3 for use  
with NAND flash.  
LCD_OE/EM_CS3/  
GP[13]  
IPD  
DVDD33  
C18  
E19  
F19  
D22  
H22  
J22  
I/O/Z  
Note: This pin features an internal pulldown (IPD). If this pin is  
connected and used as an EMIFA chip select signal, for proper device  
operation, an external pullup resistor must be used to ensure the  
EM_CSx function defaults to an inactive (high) state.  
This pin is multiplexed between VPBE (VENC), EMIFA (NAND), and  
GPIO.  
For EMIFA (NAND), it is Chip Select 4 output EM_CS4 for use with  
NAND flash.  
VSYNC/EM_CS4/  
GP[32]  
IPD  
DVDD33  
I/O/Z  
Note: This pin features an internal pulldown (IPD). If this pin is  
connected and used as an EMIFA chip select signal, for proper device  
operation, an external pullup resistor must be used to ensure the  
EM_CSx function defaults to an inactive (high) state.  
This pin is multiplexed between VPBE (VENC), EMIFA (NAND), and  
GPIO.  
For EMIFA (NAND), it is Chip Select 5 output EM_CS5 for use with  
NAND flash.  
HSYNC/EM_CS5/  
GP[33]  
IPD  
DVDD33  
I/O/Z  
Note: This pin features an internal pulldown (IPD). If this pin is  
connected and used as an EMIFA chip select signal, for proper device  
operation, an external pullup resistor must be used to ensure the  
EM_CSx function defaults to an inactive (high) state.  
COUT0/EM_D0/  
GP[14]  
IPD  
DVDD33  
D16  
D18  
D17  
E16  
E18  
E17  
F16  
F17  
E21  
G20  
E22  
F20  
G21  
F22  
F21  
H20  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
COUT1/EM_D1/  
GP[15]  
IPD  
DVDD33  
COUT2/EM_D2/  
GP[16]  
IPD  
DVDD33  
These pins are multiplexed between VPBE (VENC), EMIFA (NAND),  
and GPIO.  
COUT3/EM_D3/  
GP[17]  
IPD  
DVDD33  
COUT4/EM_D4/  
GP[18]  
IPD  
DVDD33  
For EMIFA (NAND) AEM[2:0] = 001, these are the 8-bit bi-directional  
data bus (EM_D[7:0]).  
COUT5/EM_D5/  
GP[19]  
IPD  
DVDD33  
COUT6/EM_D6/  
GP[20]  
IPD  
DVDD33  
COUT7/EM_D7/  
GP[21]  
IPD  
DVDD33  
32  
Device Overview  
Submit Documentation Feedback  
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
Table 2-11. EMIFA Terminal Functions (EMIFA Pinout Mode 3, AEM[2:0] = 011)  
SIGNAL  
ZWT  
NO.  
TYPE(1)  
OTHER(2)(3)  
DESCRIPTION  
ZDU  
NO.  
NAME  
EMIFA FUNCTIONAL PINS: 8-Bit ASYNC/NOR with Reduced Address Reach (EMIFA Pinout Mode 3, AEM[2:0] = 011)  
Actual pin functions are determined by the PINMUX0 and PINMUX1 register bit settings (e.g., PCIEN, AEAW[2:0], AEM[2:0], etc.). For  
more details, see Section 3.7, Multiplexed Pin Configurations.  
This pin is multiplexed between VPBE (VENC), EMIFA, and GPIO.  
For EMIFA, this pin is Chip Select 2 output EM_CS2 for use with  
asynchronous memories (i.e., NOR flash).  
G0/EM_CS2/  
GP[12]  
IPD  
DVDD33  
This is the chip select for the default boot and ROM boot modes.  
C19  
C22  
I/O/Z  
Note: This pin features an internal pulldown (IPD). If this pin is  
connected and used as an EMIFA chip select signal, for proper device  
operation, an external pullup resistor must be used to ensure the  
EM_CSx function defaults to an inactive (high) state.  
This pin is multiplexed between VPBE (VENC), EMIFA, and GPIO.  
For EMIFA, this pin is Chip Select 3 output EM_CS3 for use with  
asynchronous memories (i.e., NOR flash).  
LCD_OE/EM_CS3/  
GP[13]  
IPD  
DVDD33  
C18  
D22  
H22  
I/O/Z  
I/O/Z  
Note: This pin features an internal pulldown (IPD). If this pin is  
connected and used as an EMIFA chip select signal, for proper device  
operation, an external pullup resistor must be used to ensure the  
EM_CSx function defaults to an inactive (high) state.  
This pin is multiplexed between VPBE (VENC), EMIFA, and GPIO.  
For EMIFA, it is Chip Select 4 output EM_CS4 for use with  
asynchronous memories (i.e., NOR flash).  
VSYNC/EM_CS4/  
GP[32]  
IPD  
DVDD33  
E19  
Note: This pin features an internal pulldown (IPD). If this pin is  
connected and used as an EMIFA chip select signal, for proper device  
operation, an external pullup resistor must be used to ensure the  
EM_CSx function defaults to an inactive (high) state.  
This pin is multiplexed between VPBE (VENC), EMIFA, and GPIOD.  
For EMIFA, it is Chip Select 5 output EM_CS5 for use with  
asynchronous memories (i.e., NOR flash).  
HSYNC/EM_CS5/  
GP[33]  
IPD  
DVDD33  
F19  
D13  
J22  
I/O/Z  
I/O/Z  
Note: This pin features an internal pulldown (IPD). If this pin is  
connected and used as an EMIFA chip select signal, for proper device  
operation, an external pullup resistor must be used to ensure the  
EM_CSx function defaults to an inactive (high) state.  
This pin is multiplexed between EMIFA and GPIO.  
For EMIFA, it is read/write output EM_R/W.  
EM_R/W/  
GP[35]  
IPD  
DVDD33  
C17  
EM_WAIT/  
(RDY/BSY)  
IPU  
DVDD33  
For EMIFA (ASYNC/NOR), this pin is wait state extension input  
EM_WAIT.  
E15  
D15  
E14  
D20  
D19  
C19  
I/O/Z  
I/O/Z  
I/O/Z  
IPU  
DVDD33  
EM_OE  
EM_WE  
For EMIFA, it is output enable output EM_OE.  
IPU  
DVDD33  
For EMIFA, it is write enable output EM_WE.  
This pin is multiplexed between VPBE (VENC), EMIFA, and GPIO.  
R2/EM_BA[0]/  
GP[6]/(AEM1)  
IPD  
DVDD33  
For EMIFA, this is the Bank Address 0 output (EM_BA[0]). When  
connected to an 8-bit asynchronous memory, this pin is the lowest  
order bit of the byte address.  
C17  
C16  
E20  
C20  
I/O/Z  
I/O/Z  
This pin is multiplexed between VPBE (VENC), EMIFA, and GPIO.  
B2/EM_BA[1]/  
GP[5]/(AEM0)  
IPD  
DVDD33  
For EMIFA, this is the Bank Address 1 output EM_BA[1]. When  
connected to an 8-bit asynchronous memory, this pin is the 2nd bit of  
the address.  
(1) I = Input, O = Output, Z = High impedance, S = Supply voltage, GND = Ground, A = Analog signal  
(2) IPD = Internal pulldown, IPU = Internal pullup. For more detailed information on pullup/pulldown resistors and situations where external  
pullup/pulldown resistors are required, see Section 3.9.1, Pullup/Pulldown Resistors.  
(3) Specifies the operating I/O supply voltage for each signal  
Submit Documentation Feedback  
Device Overview  
33  
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
Table 2-11. EMIFA Terminal Functions (EMIFA Pinout Mode 3, AEM[2:0] = 011) (continued)  
SIGNAL  
TYPE(1)  
OTHER(2)(3)  
DESCRIPTION  
ZWT  
NO.  
ZDU  
NO.  
NAME  
EM_A[20]/PINTA/  
EM_D[7]/GP[44]  
IPD  
DVDD33  
C12  
B12  
D11  
A11  
C11  
B11  
A10  
B10  
C15  
C14  
A14  
B14  
B13  
C13  
A13  
A12  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
EM_A[19]/PREQ/  
EM_D[6]/GP[45]  
IPD  
DVDD33  
EM_A[18]/PRST/  
EM_D[5]/GP[46]  
IPD  
DVDD33  
EM_A[17]/AD31/  
EM_D[4]/GP[47]  
IPD  
DVDD33  
This pin is multiplexed between EMIFA, PCI, and GPIO.  
For EMIFA (AEM[2:0] = 011], these pins are the 8-bit bi-directional  
bus (EM_D[7:0]).  
EM_A[16]/PGNT/  
EM_D[3]/GP[48]  
IPD  
DVDD33  
EM_A[15]/AD29/  
EM_D[2]/GP[49]  
IPD  
DVDD33  
EM_A[14]/AD27/  
EM_D[1]/GP[50]  
IPD  
DVDD33  
EM_A[13]/AD25/  
EM_D[0]/GP[51]  
IPD  
DVDD33  
This pin is multiplexed between EMIFA, PCI, and GPIO.  
EM_A[12]/PCBE3/  
GP[89]  
IPD  
DVDD33  
D10  
C10  
A9  
B12  
C12  
B11  
C11  
A11  
C10  
B10  
A10  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
For EMIFA, it is address bit 12 output EM_A[12].  
This pin is multiplexed between EMIFA, PCI, and GPIO.  
EM_A[11]/AD24/  
GP[90]  
IPD  
DVDD33  
For EMIFA, it is address bit 11 output EM_A[11].  
This pin is multiplexed between EMIFA, PCI, and GPIO.  
EM_A[10]/AD23/  
GP[91]  
IPD  
DVDD33  
For EMIFA, it is address bit 10 output EM_A[10].  
This pin is multiplexed between EMIFA, PCI, and GPIO.  
EM_A[9]/PIDSEL/  
GP[92]  
IPD  
DVDD33  
D9  
B9  
For EMIFA, it is address bit 9 output EM_A[9].  
This pin is multiplexed between EMIFA, PCI, and GPIO.  
EM_A[8]/AD21/  
GP[93]  
IPD  
DVDD33  
For EMIFA, it is address bit 8 output EM_A[8].  
This pin is multiplexed between EMIFA, PCI, and GPIO.  
EM_A[7]/AD22/  
GP[94]  
IPD  
DVDD33  
C9  
D8  
B8  
For EMIFA, it is address bit 7 output EM_A[7].  
This pin is multiplexed between EMIFA, PCI, and GPIO.  
EM_A[6]/AD20/  
GP[95]  
IPD  
DVDD33  
For EMIFA, it is address bit 6 output EM_A[6].  
This pin is multiplexed between EMIFA, PCI, and GPIO.  
EM_A[5]/AD19/  
GP[96]  
IPD  
DVDD33  
For EMIFA, it is address bit 5 output EM_A[5].  
R0/EM_A[4]/GP[10  
]/  
(AEAW2/PLLMS2)  
This pin is multiplexed between VPBE (VENC), EMIFA, and GPIO.  
For EMIFA, it is address bit 4 output EM_A[4].  
IPD  
DVDD33  
A17  
B18  
B16  
B21  
D21  
A20  
I/O/Z  
I/O/Z  
I/O/Z  
This pin is multiplexed between VPBE (VENC), EMIFA, and GPIO.  
For EMIFA, it is address bit 3 output EM_A[3].  
B0/LCD_FIELD/  
EM_A[3]/GP[11]  
IPD  
DVDD33  
B1/EM_A[2]/(CLE)/  
GP[8]/  
(AEAW0/PLLMS0)  
This pin is multiplexed between VPBE (VENC), EMIFA, and GPIO.  
For EMIFA, it is address bit 2 output EM_A[2].  
IPD  
DVDD33  
G1/EM_A[1]/(ALE)/  
GP[9]/  
(AEAW1/PLLMS1)  
This pin is multiplexed between VPBE (VENC), EMIFA, and GPIO.  
For EMIFA, it is address output EM_A[1].  
IPD  
DVDD33  
A16  
B17  
B20  
C21  
I/O/Z  
I/O/Z  
This pin is multiplexed between VPBE (VENC), EMIFA, and GPIO.  
For EMIFA, this is Address output EM_A[0], which is the least  
significant bit on a 32-bit word address.  
For an 8-bit asynchronous memory, this pin is the 3rd bit of the  
address.  
R1/ EM_A[0]/  
GP[7]/(AEM2)  
IPD  
DVDD33  
EMIFA FUNCTIONAL PINS: 8-Bit NAND (EMIFA Pinout Mode 3, AEM[2:0] = 011)  
34  
Device Overview  
Submit Documentation Feedback  
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
Table 2-11. EMIFA Terminal Functions (EMIFA Pinout Mode 3, AEM[2:0] = 011) (continued)  
SIGNAL  
TYPE(1)  
OTHER(2)(3)  
DESCRIPTION  
ZWT  
NO.  
ZDU  
NO.  
NAME  
This pin is multiplexed between VPBE (VENC), EMIFA (NAND), and  
GPIO.  
G1/EM_A[1]/(ALE)/  
GP[9]/  
(AEAW1/PLLMS1)  
IPD  
DVDD33  
A16  
B16  
B20  
A20  
I/O/Z  
When used for EMIFA (NAND) , this pin is the Address Latch Enable  
output (ALE).  
This pin is multiplexed between VPBE (VENC), EMIFA (NAND), and  
GPIO.  
B1/EM_A[2]/(CLE)/  
GP[8]/  
(AEAW0/PLLMS0)  
IPD  
DVDD33  
I/O/Z  
When used for EMIFA (NAND) , this pin is the Command Latch  
Enable output (CLE).  
EM_WAIT/  
(RDY/BSY)  
IPU  
DVDD33  
E15  
D15  
E14  
D20  
D19  
C19  
I/O/Z  
I/O/Z  
I/O/Z  
When used for EMIFA (NAND), it is ready/busy input (RDY/BSY).  
When used for EMIFA (NAND), this pin is read enable output (RE).  
When used for EMIFA (NAND), this pin is write enable output (WE).  
IPU  
DVDD33  
EM_OE  
EM_WE  
IPU  
DVDD33  
This pin is multiplexed between VPBE (VENC), EMIFA (NAND), and  
GPIO.  
For EMIFA, this pin is Chip Select 2 output EM_CS2 for use with  
NAND flash.  
This is the chip select for the default boot and ROM boot modes.  
G0/EM_CS2/  
GP[12]  
IPD  
DVDD33  
C19  
C22  
I/O/Z  
Note: This pin features an internal pulldown (IPD). If this pin is  
connected and used as an EMIFA chip select signal, for proper device  
operation, an external pullup resistor must be used to ensure the  
EM_CSx function defaults to an inactive (high) state.  
This pin is multiplexed between VPBE (VENC), EMIFA (NAND), and  
GPIO.  
For EMIFA, this pin is Chip Select 3 output EM_CS3 for use with  
NAND flash.  
LCD_OE/EM_CS3/  
GP[13]  
IPD  
DVDD33  
C18  
E19  
F19  
D22  
H22  
J22  
I/O/Z  
I/O/Z  
I/O/Z  
Note: This pin features an internal pulldown (IPD). If this pin is  
connected and used as an EMIFA chip select signal, for proper device  
operation, an external pullup resistor must be used to ensure the  
EM_CSx function defaults to an inactive (high) state.  
This pin is multiplexed between VPBE (VENC), EMIFA (NAND), and  
GPIO.  
For EMIFA, it is Chip Select 4 output EM_CS4 for use with NAND  
flash.  
VSYNC/EM_CS4/  
GP[32]  
IPD  
DVDD33  
Note: This pin features an internal pulldown (IPD). If this pin is  
connected and used as an EMIFA chip select signal, for proper device  
operation, an external pullup resistor must be used to ensure the  
EM_CSx function defaults to an inactive (high) state.  
This pin is multiplexed between VPBE (VENC), EMIFA (NAND), and  
GPIO.  
For EMIFA, it is Chip Select 5 output EM_CS5 for use with NAND  
flash.  
HSYNC/EM_CS5/  
GP[33]  
IPD  
DVDD33  
Note: This pin features an internal pulldown (IPD). If this pin is  
connected and used as an EMIFA chip select signal, for proper device  
operation, an external pullup resistor must be used to ensure the  
EM_CSx function defaults to an inactive (high) state.  
Submit Documentation Feedback  
Device Overview  
35  
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
Table 2-11. EMIFA Terminal Functions (EMIFA Pinout Mode 3, AEM[2:0] = 011) (continued)  
SIGNAL  
TYPE(1)  
OTHER(2)(3)  
DESCRIPTION  
ZWT  
NO.  
ZDU  
NO.  
NAME  
EM_A[13]/AD25/  
EM_D[0]/GP[51]  
IPD  
DVDD33  
B10  
A10  
B11  
C11  
A11  
D11  
B12  
C12  
A12  
A13  
C13  
B13  
B14  
A14  
C14  
C15  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
EM_A[14]/AD27/  
EM_D[1]/GP[50]  
IPD  
DVDD33  
EM_A[15]/AD29/  
EM_D[2]/GP[49]  
IPD  
DVDD33  
EM_A[16]/PGNT/  
EM_D[3]/GP[48]  
IPD  
DVDD33  
These pins are multiplexed between EMIFA (NAND), PCI, and GPIO.  
For EMIFA AEM[2:0] = 011 (NAND), these pins are the 8-bit  
bi-directional data bus (EM_D[7:0]).  
EM_A[17]/AD31/  
EM_D[4]/GP[47]  
IPD  
DVDD33  
EM_A[18]/PRST/  
EM_D[5]/GP[46]  
IPD  
DVDD33  
EM_A[19]/PREQ/  
EM_D[6]/GP[45]  
IPD  
DVDD33  
EM_A[20]/PINTA/  
EM_D[7]/GP[44]  
IPD  
DVDD33  
36  
Device Overview  
Submit Documentation Feedback  
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
Table 2-12. EMIFA Terminal Functions (EMIFA Pinout Mode 4, AEM[2:0] = 100)  
SIGNAL  
ZWT  
NO.  
TYPE(1)  
OTHER(2)(3)  
DESCRIPTION  
ZDU  
NO.  
NAME  
EMIFA FUNCTIONAL PINS: 8-Bit NAND (EMIFA Pinout Mode 4, AEM[2:0] = 100)  
Actual pin functions are determined by the PINMUX0 and PINMUX1 register bit settings (e.g., PCIEN, AEAW[2:0], AEM[2:0], etc.). For  
more details, see Section 3.7, Multiplexed Pin Configurations.  
This pin is multiplexed between VPBE (VENC), EMIFA (NAND), and  
GPIO.  
G1/EM_A[1]/(ALE)/  
GP[9]/  
(AEAW1/PLLMS1)  
IPD  
DVDD33  
A16  
B16  
B20  
A20  
I/O/Z  
I/O/Z  
When used for EMIFA (NAND) , this pin is the Address Latch Enable  
output (ALE).  
This pin is multiplexed between VPBE (VENC), EMIFA (NAND), and  
GPIO.  
B1/EM_A[2]/(CLE)/  
GP[8]/  
(AEAW0/PLLMS0)  
IPD  
DVDD33  
When used for EMIFA (NAND) , this pin is the Command Latch  
Enable output (CLE).  
EM_WAIT/  
(RDY/BSY)  
IPU  
DVDD33  
E15  
D15  
E14  
D20  
D19  
C19  
I/O/Z  
I/O/Z  
I/O/Z  
When used for EMIFA (NAND), it is ready/busy input (RDY/BSY).  
When used for EMIFA (NAND), this pin is read enable output (RE).  
When used for EMIFA (NAND), this pin is write enable output (WE).  
IPU  
DVDD33  
EM_OE  
EM_WE  
IPU  
DVDD33  
This pin is multiplexed between VPBE (VENC), EMIFA (NAND), and  
GPIO.  
For EMIFA, this pin is Chip Select 2 output EM_CS2 for use with  
NAND flash.  
This is the chip select for the default boot and ROM boot modes.  
G0/EM_CS2/  
GP[12]  
IPD  
DVDD33  
C19  
C22  
I/O/Z  
Note: This pin features an internal pulldown (IPD). If this pin is  
connected and used as an EMIFA chip select signal, for proper device  
operation, an external pullup resistor must be used to ensure the  
EM_CSx function defaults to an inactive (high) state.  
This pin is multiplexed between VPBE (VENC), EMIFA (NAND), and  
GPIO.  
For EMIFA, this pin is Chip Select 3 output EM_CS3 for use with  
NAND flash.  
LCD_OE/EM_CS3/  
GP[13]  
IPD  
DVDD33  
C18  
E19  
F19  
D22  
H22  
J22  
I/O/Z  
I/O/Z  
I/O/Z  
Note: This pin features an internal pulldown (IPD). If this pin is  
connected and used as an EMIFA chip select signal, for proper device  
operation, an external pullup resistor must be used to ensure the  
EM_CSx function defaults to an inactive (high) state.  
This pin is multiplexed between VPBE (VENC), EMIFA (NAND), and  
GPIO.  
For EMIFA, it is Chip Select 4 output EM_CS4 for use with NAND  
flash.  
VSYNC/EM_CS4/  
GP[32]  
IPD  
DVDD33  
Note: This pin features an internal pulldown (IPD). If this pin is  
connected and used as an EMIFA chip select signal, for proper device  
operation, an external pullup resistor must be used to ensure the  
EM_CSx function defaults to an inactive (high) state.  
This pin is multiplexed between VPBE (VENC), EMIFA (NAND), and  
GPIO.  
For EMIFA, it is Chip Select 5 output EM_CS5 for use with NAND  
flash.  
HSYNC/EM_CS5/  
GP[33]  
IPD  
DVDD33  
Note: This pin features an internal pulldown (IPD). If this pin is  
connected and used as an EMIFA chip select signal, for proper device  
operation, an external pullup resistor must be used to ensure the  
EM_CSx function defaults to an inactive (high) state.  
(1) I = Input, O = Output, Z = High impedance, S = Supply voltage, GND = Ground, A = Analog signal  
(2) IPD = Internal pulldown, IPU = Internal pullup. For more detailed information on pullup/pulldown resistors and situations where external  
pullup/pulldown resistors are required, see Section 3.9.1, Pullup/Pulldown Resistors.  
(3) Specifies the operating I/O supply voltage for each signal  
Submit Documentation Feedback  
Device Overview  
37  
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
Table 2-12. EMIFA Terminal Functions (EMIFA Pinout Mode 4, AEM[2:0] = 100) (continued)  
SIGNAL  
TYPE(1)  
OTHER(2)(3)  
DESCRIPTION  
ZWT  
NO.  
ZDU  
NO.  
NAME  
EM_A[13]/AD25/  
EM_D[0]/GP[51]  
IPD  
DVDD33  
B10  
A10  
B11  
C11  
A11  
A12  
A13  
C13  
B13  
B14  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
EM_A[14]/AD27/  
EM_D[1]/GP[50]  
IPD  
DVDD33  
EM_A[15]/AD29/  
EM_D[2]/GP[49]  
IPD  
DVDD33  
EM_A[16]/PGNT/  
EM_D[3]/GP[48]  
IPD  
DVDD33  
These pins are multiplexed between EMIFA (NAND), PCI, and GPIO.  
EM_A[17]/AD31/  
EM_D[4]/GP[47]  
IPD  
DVDD33  
For EMIFA AEM[2:0] = 100 (NAND), these pins are the 8-bit  
bi-directional data bus (EM_D[7:0]).  
CI2(CCD10)/  
EM_A[18]/PRST/  
EM_D[5]/GP[46]  
IPD  
DVDD33  
D11  
A14  
I/O/Z  
EM_A[19]/PREQ/  
EM_D[6]/GP[45]  
IPD  
DVDD33  
B12  
C12  
C14  
C15  
I/O/Z  
I/O/Z  
EM_A[20]/PINTA/  
EM_D[7]/GP[44]  
IPD  
DVDD33  
38  
Device Overview  
Submit Documentation Feedback  
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
Table 2-13. EMIFA Terminal Functions (EMIFA Pinout Mode 5, AEM[2:0] = 101)  
SIGNAL  
ZWT  
NO.  
TYPE(1)  
OTHER(2)(3)  
DESCRIPTION  
ZDU  
NO.  
NAME  
EMIFA FUNCTIONAL PINS: 8-Bit NAND (EMIFA Pinout Mode 5, AEM[2:0] = 101)  
Actual pin functions are determined by the PINMUX0 and PINMUX1 register bit settings (e.g., PCIEN, AEAW[2:0], AEM[2:0], etc.). For  
more details, see Section 3.7, Multiplexed Pin Configurations.  
This pin is multiplexed between VPBE (VENC), EMIFA (NAND), and  
GPIO.  
G1/EM_A[1]/  
(ALE)/GP[9]/  
(AEAW1/PLLMS1)  
IPD  
DVDD33  
A16  
B16  
B20  
A20  
I/O/Z  
I/O/Z  
When used for EMIFA (NAND) , this pin is the Address Latch Enable  
output (ALE).  
This pin is multiplexed between VPBE (VENC), EMIFA (NAND), and  
GPIO.  
B1/EM_A[2]/  
(CLE)/GP[8]/  
(AEAW0/PLLMS0)  
IPD  
DVDD33  
When used for EMIFA (NAND) , this pin is the Command Latch  
Enable output (CLE).  
EM_WAIT/  
(RDY/BSY)  
IPU  
DVDD33  
E15  
D15  
E14  
D20  
D19  
C19  
I/O/Z  
I/O/Z  
I/O/Z  
When used for EMIFA (NAND), it is ready/busy input (RDY/BSY).  
When used for EMIFA (NAND), this pin is read enable output (RE).  
When used for EMIFA (NAND), this pin is write enable output (WE).  
IPU  
DVDD33  
EM_OE  
EM_WE  
IPU  
DVDD33  
This pin is multiplexed between VPBE (VENC), EMIFA (NAND), and  
GPIO.  
For EMIFA, this pin is Chip Select 2 output EM_CS2 for use with  
NAND flash.  
This is the chip select for the default boot and ROM boot modes.  
G0/EM_CS2/  
GP[12]  
IPD  
DVDD33  
C19  
C22  
I/O/Z  
Note: This pin features an internal pulldown (IPD). If this pin is  
connected and used as an EMIFA chip select signal, for proper device  
operation, an external pullup resistor must be used to ensure the  
EM_CSx function defaults to an inactive (high) state.  
This pin is multiplexed between VPBE (VENC), EMIFA (NAND), and  
GPIO.  
For EMIFA, this pin is Chip Select 3 output EM_CS3 for use with  
NAND flash.  
LCD_OE/EM_CS3/  
GP[13]  
IPD  
DVDD33  
C18  
E19  
F19  
D22  
H22  
J22  
I/O/Z  
I/O/Z  
I/O/Z  
Note: This pin features an internal pulldown (IPD). If this pin is  
connected and used as an EMIFA chip select signal, for proper device  
operation, an external pullup resistor must be used to ensure the  
EM_CSx function defaults to an inactive (high) state.  
This pin is multiplexed between VPBE (VENC), EMIFA (NAND), and  
GPIO.  
For EMIFA, it is Chip Select 4 output EM_CS4 for use with NAND  
flash.  
VSYNC/EM_CS4/  
GP[32]  
IPD  
DVDD33  
Note: This pin features an internal pulldown (IPD). If this pin is  
connected and used as an EMIFA chip select signal, for proper device  
operation, an external pullup resistor must be used to ensure the  
EM_CSx function defaults to an inactive (high) state.  
This pin is multiplexed between VPBE (VENC), EMIFA (NAND), and  
GPIO.  
For EMIFA, it is Chip Select 5 output EM_CS5 for use with NAND  
flash.  
HSYNC/EM_CS5/  
GP[33]  
IPD  
DVDD33  
Note: This pin features an internal pulldown (IPD). If this pin is  
connected and used as an EMIFA chip select signal, for proper device  
operation, an external pullup resistor must be used to ensure the  
EM_CSx function defaults to an inactive (high) state.  
(1) I = Input, O = Output, Z = High impedance, S = Supply voltage, GND = Ground, A = Analog signal  
(2) IPD = Internal pulldown, IPU = Internal pullup. For more detailed information on pullup/pulldown resistors and situations where external  
pullup/pulldown resistors are required, see Section 3.9.1, Pullup/Pulldown Resistors.  
(3) Specifies the operating I/O supply voltage for each signal  
Submit Documentation Feedback  
Device Overview  
39  
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
Table 2-13. EMIFA Terminal Functions (EMIFA Pinout Mode 5, AEM[2:0] = 101) (continued)  
SIGNAL  
TYPE(1)  
OTHER(2)(3)  
DESCRIPTION  
ZWT  
NO.  
ZDU  
NO.  
NAME  
COUT0/EM_D0/  
GP[14]  
IPD  
DVDD33  
D16  
D18  
D17  
E16  
E18  
E17  
F16  
F17  
E21  
G20  
E22  
F20  
G21  
F22  
F21  
H20  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
COUT1/EM_D1/  
GP[15]  
IPD  
DVDD33  
COUT2/EM_D2/  
GP[16]  
IPD  
DVDD33  
These pins are multiplexed between VPBE (VENC), EMIFA (NAND),  
and GPIO.  
COUT3/EM_D3/  
GP[17]  
IPD  
DVDD33  
COUT4/EM_D4/  
GP[18]  
IPD  
DVDD33  
For EMIFA (NAND) AEM[2:0] = 101, these are the 8-bit bi-directional  
data bus (EM_D[7:0]).  
COUT5/EM_D5/  
GP[19]  
IPD  
DVDD33  
COUT6/EM_D6/  
GP[20]  
IPD  
DVDD33  
COUT7/EM_D7/  
GP[21]  
IPD  
DVDD33  
40  
Device Overview  
Submit Documentation Feedback  
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
Table 2-14. DDR2 Memory Controller Terminal Functions  
SIGNAL  
ZWT  
TYPE(1)  
OTHER(2)(3)  
DESCRIPTION  
ZDU  
NO.  
NAME  
NO.  
DDR2 Memory Controller  
DDR2 Clock Output  
DDR_CLK  
DDR_CLK  
W7  
W8  
V8  
AB7  
AB8  
AA8  
Y11  
Y10  
Y18  
Y15  
Y7  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
DVDDR2  
DVDDR2  
DVDDR2  
DVDDR2  
DVDDR2  
DVDDR2  
DVDDR2  
DVDDR2  
DVDDR2  
DVDDR2  
DVDDR2  
DVDDR2  
DVDDR2  
DVDDR2  
DDR2 Differential Clock Output  
DDR2 Clock Enable Output  
DDR_CKE  
DDR_CS  
T9  
DDR2 Active Low Chip Select Output  
DDR2 Active Low Write Enable Output  
DDR_WE  
T8  
DDR_DQM[3]  
DDR_DQM[2]  
DDR_DQM[1]  
DDR_DQM[0]  
DDR_RAS  
T16  
T14  
T6  
DDR2 Data Mask Outputs  
DQM3: For upper byte data bus DDR_D[31:24]  
DQM2: For DDR_D[23:16]  
DQM1: For DDR_D[15:8]  
DQM0: For lower byte DDR_D[7:0]  
T4  
Y4  
U7  
T7  
Y8  
DDR2 Row Access Signal Output  
DDR2 Column Access Signal Output  
DDR_CAS  
Y9  
DDR_DQS[0]  
DDR_DQS[1]  
DDR_DQS[2]  
U4  
U6  
U14  
AA4  
AA7  
AA15  
Data Strobe Input/Outputs for each byte of the 32-bit data bus. They  
are outputs to the DDR2 memory when writing and inputs when  
reading. They are used to synchronize the data transfers.  
DQS3 : For upper byte DDR_D[31:24]  
DQS2: For DDR_D[23:16]  
DQS1: For DDR_D[15:8]  
DQS0: For bottom byte DDR_D[7:0]  
DDR_DQS[3]  
U16  
AA18  
I/O/Z  
DVDDR2  
DDR_BA[0]  
DDR_BA[1]  
DDR_BA[2]  
DDR_A[12]  
DDR_A[11]  
DDR_A[10]  
DDR_A[9]  
DDR_A[8]  
DDR_A[7]  
DDR_A[6]  
DDR_A[5]  
DDR_A[4]  
DDR_A[3]  
DDR_A[2]  
DDR_A[1]  
DDR_A[0]  
U8  
V9  
AA9  
AB9  
Bank Select Outputs (BA[2:0]). Two are required to support 1Gb DDR2  
memories.  
I/O/Z  
DVDDR2  
U9  
AB10  
AA10  
AA11  
AB11  
AA12  
Y12  
W9  
W10  
U10  
U11  
V10  
V11  
W11  
W12  
V12  
U12  
V13  
U13  
W13  
AB12  
AA13  
Y13  
I/O/Z  
DVDDR2  
DDR2 Address Bus Output  
AB13  
AA14  
Y14  
AB14  
AB15  
(1) I = Input, O = Output, Z = High impedance, S = Supply voltage, GND = Ground, A = Analog signal  
(2) IPD = Internal pulldown, IPU = Internal pullup. For more detailed information on pullup/pulldown resistors and situations where external  
pullup/pulldown resistors are required, see Section 3.9.1, Pullup/Pulldown Resistors.  
(3) Fore more information, see the Recommended Operating Conditions table  
Submit Documentation Feedback  
Device Overview  
41  
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
Table 2-14. DDR2 Memory Controller Terminal Functions (continued)  
SIGNAL  
TYPE(1)  
OTHER(2)(3)  
DESCRIPTION  
ZWT  
ZDU  
NO.  
NAME  
NO.  
T19  
U19  
V18  
U18  
W17  
T18  
U17  
V17  
T17  
V16  
W16  
U15  
V15  
W15  
V14  
W14  
V7  
DDR_D[31]  
DDR_D[30]  
DDR_D[29]  
DDR_D[28]  
DDR_D[27]  
DDR_D[26]  
DDR_D[25]  
DDR_D[24]  
DDR_D[23]  
DDR_D[22]  
DDR_D[21]  
DDR_D[20]  
DDR_D[19]  
DDR_D[18]  
DDR_D[17]  
DDR_D[16]  
DDR_D[15]  
DDR_D[14]  
DDR_D[13]  
DDR_D[12]  
DDR_D[11]  
DDR_D[10]  
DDR_D[9]  
Y22  
AA21  
Y21  
AB20  
Y20  
AA20  
AB19  
Y19  
AA19  
AB18  
AB17  
Y17  
AA17  
AB16  
Y16  
AA16  
AB6  
Y6  
DDR2 bi-directional data bus can be configured as 32-bits wide or  
16-bits wide.  
I/O/Z  
DVDDR2  
W6  
V6  
AA6  
AB5  
Y5  
W5  
V5  
U5  
AA5  
W5  
W4  
V4  
DDR_D[8]  
AB4  
W4  
DDR_D[7]  
W3  
V3  
DDR_D[6]  
AB3  
Y3  
DDR_D[5]  
U3  
DDR_D[4]  
V2  
AA3  
AA2  
W2  
DDR_D[3]  
U2  
DDR_D[2]  
U1  
DDR_D[1]  
T2  
Y2  
DDR_D[0]  
T1  
Y1  
(3)  
(3)  
(3)  
DDR_VREF  
DDR_VSSDLL  
DDR_VDDDLL  
T15  
T13  
T12  
W18  
W15  
W14  
I
Reference voltage input for the SSTL_18 I/O buffers  
Ground for the DDR2 DLL  
GND  
S
Power (1.8 Volts) for the DDR2 Digital Locked Loop  
Impedance control for DDR2 outputs. This must be connected via a  
(3)  
(3)  
DDR_ZN  
DDR_ZP  
T10  
T11  
W12  
W13  
200-resistor to DVDDR2  
Impedance control for DDR2 outputs. This must be connected via a  
200-resistor to VSS  
.
.
42  
Device Overview  
Submit Documentation Feedback  
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
Table 2-15. Peripheral Component Interconnect (PCI) Terminal Functions  
SIGNAL  
TYPE(1)  
OTHER(2)(3)  
DESCRIPTION  
ZWT  
NO.  
ZDU  
NO.  
NAME  
PCI  
EM_A[16]/PGNT/  
EM_D[3]/GP[48]  
IPD  
DVDD33  
This pin is multiplexed between the EMIFA, PCI, and GPIO.  
In PCI mode, this pin is PCI bus grant (I)  
C11  
D11  
B12  
C12  
D10  
B7  
B13  
A14  
C14  
C15  
B12  
B8  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
EM_A[18]/PRST/  
EM_D[5]/GP[46]  
IPD  
DVDD33  
This pin is multiplexed between the EMIFA, PCI, and GPIO.  
In PCI mode, this pin is PCI reset (I)  
EM_A[19]/PREQ/  
EM_D[6]/GP[45]  
IPD  
DVDD33  
This pin is multiplexed between the EMIFA, PCI, and GPIO.  
In PCI mode, this pin is the PCI bus request (O/Z)  
EM_A[20]/PINTA/  
EM_D[7]/GP[44]  
IPD  
DVDD33  
This pin is multiplexed between the EMIFA, PCI, and GPIO.  
In PCI mode, this pin is the PCI interrupt A (O/Z)  
EM_A[12]/PCBE3/  
GP[89]  
IPD  
DVDD33  
This pin is multiplexed between EMIFA, PCI, and GPIO.  
In PCI mode, this pin is the PCI command/byte enable 3 (I/O/Z).  
HD3/VLYNQ_RXD2/  
PCBE2 /GP[61]  
IPD  
DVDD33  
This pin is multiplexed between HPI, VLYNQ, PCI, and GPIO.  
In PCI mode, this pin is the PCI command/byte enable 2 (I/O/Z)  
This pin is multiplexed between HPI, Ethernet MAC (EMAC), PCI,  
and GPIO.  
In PCI mode, this pin is the PCI command/byte enable 1 (I/O/Z)  
HD11/MTXD3/  
PCBE1/GP[69]  
IPD  
DVDD33  
C5  
A5  
I/O/Z  
HRDY/MRXD2/  
PCBE0/GP[80]  
IPU  
DVDD33  
This pin is multiplexed between HPI, EMAC, PCI, and GPIO.  
In PCI mode, this pin is the PCI command/byte enable 0 (I/O/Z)  
D2  
D9  
A7  
C7  
A6  
D6  
B6  
A5  
C3  
C11  
A8  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
EM_A[9]/PIDSEL/  
GP[92]  
IPD  
DVDD33  
This pin is multiplexed between EMIFA, PCI, and GPIO.  
In PCI mode, this pin is the PCI initialization device select (I)  
VLYNQ_CLOCK/  
PCICLK/GP[57]  
IPU  
DVDD33  
This pin is multiplexed between VLYNQ, PCI, and GPIO.  
In PCI mode, this pin is the PCI clock (I)  
HD4/VLYNQ_RXD3/  
PFRAME/GP[62]  
IPD  
DVDD33  
This pin is multiplexed between HPI, VLYNQ, PCI, and GPIO.  
In PCI mode, this pin is the PCI frame (I/O/Z)  
C8  
A7  
HD5/VLYNQ_TXD0/  
PIRDY/GP[63]  
IPD  
DVDD33  
This pin is multiplexed between HPI, VLYNQ, PCI, and GPIO.  
In PCI mode, this pin is the PCI initiator ready (I/O/Z)  
HD6/VLYNQ_TXD1/  
PTRDY/GP[64]  
IPD  
DVDD33  
This pin is multiplexed between HPI, VLYNQ, PCI, and GPIO.  
In PCI mode, this pin is the PCI target ready (I/O/Z)  
C7  
B7  
HD7/VLYNQ_TXD2/  
PDEVSEL/GP[65]  
IPD  
DVDD33  
This pin is multiplexed between HPI, VLYNQ, PCI, and GPIO.  
In PCI mode, this pin is the PCI device select (I/O/Z)  
HD8/VLYNQ_TXD3/  
PPERR/GP[66]  
IPD  
DVDD33  
This pin is multiplexed between HPI, VLYNQ, PCI, and GPIO.  
In PCI mode, this pin is the PCI parity error (I/O/Z)  
A6  
This pin is multiplexed between HPI, Ethernet MAC (EMAC), PCI,  
and GPIO.  
In PCI mode, this pin is the PCI stop (I/O/Z)  
HD9/MCOL/  
PSTOP/GP[67]  
IPD  
DVDD33  
C6  
C6  
I/O/Z  
HD10/MCRS/  
PSERR/GP[68]  
IPD  
DVDD33  
This pin is multiplexed between HPI, EMAC, PCI, and GPIO.  
In PCI mode, this pin is the PCI system error (I/O/Z)  
B5  
D5  
B6  
C5  
I/O/Z  
I/O/Z  
HD12/MTXD2/  
PPAR/GP[70]  
IPD  
DVDD33  
This pin is multiplexed between HPI, EMAC, PCI, and GPIO.  
In PCI mode, this pin is the PCI parity (I/O/Z)  
(1) I = Input, O = Output, Z = High impedance, S = Supply voltage, GND = Ground, A = Analog signal  
(2) IPD = Internal pulldown, IPU = Internal pullup. For more detailed information on pullup/pulldown resistors and situations where external  
pullup/pulldown resistors are required, see Section 3.9.1, Pullup/Pulldown Resistors.  
(3) Specifies the operating I/O supply voltage for each signal  
Submit Documentation Feedback  
Device Overview  
43  
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
Table 2-15. Peripheral Component Interconnect (PCI) Terminal Functions (continued)  
SIGNAL  
TYPE(1)  
OTHER(2)(3)  
DESCRIPTION  
ZWT  
NO.  
ZDU  
NO.  
NAME  
EM_A[17]/AD31/  
EM_D[4]/GP[47]  
IPD  
DVDD33  
A11  
E12  
B11  
E11  
A10  
E10  
B10  
C10  
A9  
B14  
D14  
C13  
D13  
A13  
D12  
A12  
C12  
B11  
C10  
A11  
B10  
A10  
B9  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
IPD  
DVDD33  
AD30  
EM_A[15]/AD29/  
EM_D[2]/GP[49]  
IPD  
DVDD33  
IPD  
DVDD33  
AD28  
EM_A[14]/AD27/  
EM_D[1]/GP[50]  
IPD  
DVDD33  
IPD  
DVDD33  
AD26  
EM_A[13]/AD25/  
EM_D[0]/GP[51]  
IPD  
DVDD33  
IPD  
DVDD33  
EM_A[11]/AD24/GP[90]  
EM_A[10]/AD23/GP[91]  
EM_A[7]/AD22/GP[94]  
EM_A[8]/AD21/GP[93]  
EM_A[6]/AD20/GP[95]  
EM_A[5]/AD19/GP[96]  
IPD  
DVDD33  
IPD  
DVDD33  
C9  
These pins are multiplexed between PCI, EMIFA, HPI, VLYNQ,  
EMAC (MII), and GPIO.  
For PCI, these pins are PCI data-address bus [31:0] (I/O/Z)  
IPD  
DVDD33  
B9  
IPD  
DVDD33  
D8  
IPD  
DVDD33  
B8  
HD0/VLYNQ_SCRUN/  
AD18/GP[58]  
IPU  
DVDD33  
C8  
HD2/VLYNQ_RXD1/  
AD17/GP[60]  
IPD  
DVDD33  
A8  
A9  
HD1/VLYNQ_RXD0/  
AD16/GP[59]  
IPD  
DVDD33  
D7  
C9  
HD14/MTXD0/  
AD15/GP[72]  
IPD  
DVDD33  
D4  
B5  
HD13/MTXD1/  
AD14/GP[71]  
IPD  
DVDD33  
B4  
B4  
HHWIL/MRXDV/  
AD13/GP[74]  
IPD  
DVDD33  
C4  
D3  
HD15/MTXCLK/  
AD12/GP[73]  
IPD  
DVDD33  
A4  
A4  
HCNTL1/MTXEN/  
AD11/GP[75]  
IPD  
DVDD33  
D3  
C4  
44  
Device Overview  
Submit Documentation Feedback  
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
Table 2-15. Peripheral Component Interconnect (PCI) Terminal Functions (continued)  
SIGNAL  
TYPE(1)  
OTHER(2)(3)  
DESCRIPTION  
ZWT  
NO.  
ZDU  
NO.  
NAME  
HCNTL0/MRXER/  
AD10/GP[76]  
IPD  
DVDD33  
B3  
C3  
A3  
B2  
C2  
C1  
E4  
D1  
E3  
E2  
E1  
B2  
C2  
A3  
B3  
D2  
D1  
F2  
C1  
F1  
E2  
E1  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
HDS2/MRXD0/  
AD9/GP[78]  
IPU  
DVDD33  
HR/W/MRXCLK/  
AD8/GP[77]  
IPD  
DVDD33  
HDS1/MRXD1/  
AD7/GP[79]  
IPU  
DVDD33  
HINT/MRXD3/  
AD6/GP[82]  
IPU  
DVDD33  
These pins are multiplexed between PCI, EMIFA, HPI, VLYNQ,  
EMAC (MII), and GPIO.  
For PCI, these pins are PCI data-address bus [31:0] (I/O/Z)  
HCS/MDCLK/  
AD5/GP[81]  
IPU  
DVDD33  
IPD  
DVDD33  
AD4/GP[3]  
HAS/MDIO/  
AD3/GP[83]  
IPU  
DVDD33  
IPD  
DVDD33  
AD2/GP[2]  
AD1/GP[1]  
AD0/GP[0]  
IPD  
DVDD33  
IPD  
DVDD33  
Submit Documentation Feedback  
Device Overview  
45  
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
Table 2-16. EMAC and MDIO Terminal Functions  
SIGNAL  
ZWT  
TYPE(1)  
OTHER(2)(3)  
DESCRIPTION  
ZDU  
NO.  
NAME  
NO.  
EMAC  
This pin is multiplexed between HPI, Ethernet MAC (EMAC), PCI,  
and GPIO.  
In Ethernet MAC mode, it is Transmit Enable output MTXEN.  
HCNTL1/MTXEN/  
AD11/GP[75]  
IPD  
DVDD33  
D3  
A4  
C6  
C5  
D5  
B4  
D4  
A3  
C4  
B3  
B5  
C2  
D2  
B2  
C3  
C4  
A4  
C6  
A5  
C5  
B4  
B5  
A3  
D3  
B2  
B6  
D2  
C3  
B3  
C2  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
This pin is multiplexed between HPI, Ethernet MAC (EMAC), PCI,  
and GPIO.  
In Ethernet MAC mode, it is Transmit Clock input MTXCLK.  
HD15/MTXCLK/  
AD12/GP[73]  
IPD  
DVDD33  
This pin is multiplexed between HPI, Ethernet MAC (EMAC), PCI,  
and GPIO.  
In Ethernet MAC mode, it is Collision Detect input MCOL.  
HD9/MCOL/  
PSTOP/GP[67]  
IPD  
DVDD33  
This pin is multiplexed between HPI, Ethernet MAC (EMAC), PCI,  
and GPIO.  
In Ethernet MAC mode, it is Transmit Data 3 output MTXD3.  
HD11/MTXD3/  
PCBE1/GP[69]  
IPD  
DVDD33  
This pin is multiplexed between HPI, Ethernet MAC (EMAC), PCI,  
and GPIO.  
In Ethernet MAC mode, it is Transmit Data 2 output MTXD2.  
HD12/MTXD2/  
PPAR/GP[70]  
IPD  
DVDD33  
This pin is multiplexed between HPI, Ethernet MAC (EMAC), PCI,  
and GPIO.  
In Ethernet MAC mode, it is Transmit Data 1 output MTXD1.  
HD13/MTXD1/  
AD14/GP[71]  
IPD  
DVDD33  
This pin is multiplexed between HPI, Ethernet MAC (EMAC), PCI,  
and GPIO.  
In Ethernet MAC mode, it is Transmit Data 0 output MTXD0.  
HD14/MTXD0/  
AD15/GP[72]  
IPD  
DVDD33  
This pin is multiplexed between HPI, Ethernet MAC (EMAC), PCI,  
and GPIO.  
In Ethernet MAC mode, it is Receive Clock input MRXCLK.  
HR/W/MRXCLK/  
AD8/GP[77]  
IPD  
DVDD33  
This pin is multiplexed between HPI, Ethernet MAC (EMAC), PCI,  
and GPIO.  
In Ethernet MAC mode, it is Receive Data Valid input MRXDV.  
HHWIL/MRXDV/  
AD13/GP[74]  
IPD  
DVDD33  
This pin is multiplexed between HPI, Ethernet MAC (EMAC), PCI,  
and GPIO.  
In Ethernet MAC mode, it is Receive Error input MRXER.  
HCNTL0/MRXER/  
AD10/GP[76]  
IPD  
DVDD33  
This pin is multiplexed between HPI, Ethernet MAC (EMAC), PCI,  
and GPIO.  
In Ethernet MAC mode, it is Carrier Sense input MCRS.  
HD10/MCRS/  
PSERR/GP[68]  
IPD  
DVDD33  
This pin is multiplexed between HPI, Ethernet MAC (EMAC), PCI,  
and GPIO.  
In Ethernet MAC mode, it is Receive Data 3 input MRXD3.  
HINT/MRXD3/  
AD6/GP[82]  
IPU  
DVDD33  
This pin is multiplexed between HPI, Ethernet MAC (EMAC), PCI,  
and GPIO.  
In Ethernet MAC mode, it is Receive Data 2 input MRXD2.  
HRDY/MRXD2/  
PCBE0/GP[80]  
IPU  
DVDD33  
This pin is multiplexed between HPI, Ethernet MAC (EMAC), PCI,  
and GPIO.  
In Ethernet MAC mode, it is Receive data 1 input MRXD1.  
HDS1/MRXD1/  
AD7/GP[79]  
IPU  
DVDD33  
This pin is multiplexed between HPI, Ethernet MAC (EMAC), PCI,  
and GPIO.  
In Ethernet MAC mode, it is Receive Data 0 input MRXD0.  
HDS2/MRXD0/  
AD9/GP[78]  
IPU  
DVDD33  
MDIO  
This pin is multiplexed between HPI, MDIO, PCI, and GPIO.  
In Ethernet MAC mode, it is Management Data Clock output  
MDCLK.  
HCS/MDCLK/  
AD5/GP[81]  
IPU  
DVDD33  
C1  
D1  
D1  
C1  
I/O/Z  
I/O/Z  
HAS/MDIO/  
AD3/GP[83]  
IPU  
DVDD33  
This pin is multiplexed between HPI, MDIO, PCI, and GPIO.  
In Ethernet MAC mode, it is Management Data I/O MDIO (I/O/Z).  
(1) I = Input, O = Output, Z = High impedance, S = Supply voltage, GND = Ground, A = Analog signal  
(2) IPD = Internal pulldown, IPU = Internal pullup. For more detailed information on pullup/pulldown resistors and situations where external  
pullup/pulldown resistors are required, see Section 3.9.1, Pullup/Pulldown Resistors.  
(3) Specifies the operating I/O supply voltage for each signal  
46  
Device Overview  
Submit Documentation Feedback  
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
Table 2-17. VLYNQ Terminal Functions  
SIGNAL  
TYPE(1)  
OTHER(2)(3)  
VLYNQ  
DESCRIPTION  
ZWT  
NO.  
ZDU  
NO.  
NAME  
VLYNQ_CLOCK/  
PCICLK/GP[57]  
IPU  
DVDD33  
This pin is multiplexed between VLYNQ, PCI, and GPIO.  
For VLYNQ, it is the clock VLYNQ_CLOCK (I/O/Z).  
A7  
C8  
A8  
B9  
I/O/Z  
I/O/Z  
This pin is multiplexed between HPI, VLYNQ, PCI, and GPIO.  
For VLYNQ, it is the Serial Clock run request VLYNQ_SCRUN  
(I/O/Z).  
HD0/VLYNQ_SCRUN/  
AD18/GP[58]  
IPU  
DVDD33  
HD8/VLYNQ_TXD3/  
PPERR/GP[66]  
IPD  
DVDD33  
This pin is multiplexed between HPI, VLYNQ, PCI, and GPIO.  
For VLYNQ, it is transmit bus bit 3 output VLYNQ_TXD3.  
A5  
B6  
D6  
A6  
C7  
B7  
A8  
D7  
A6  
B7  
C7  
A7  
C8  
B8  
A9  
C9  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
HD7/VLYNQ_TXD2/  
PDEVSEL/GP[65]  
IPD  
DVDD33  
This pin is multiplexed between HPI, VLYNQ, PCI, and GPIO.  
For VLYNQ, it is transmit bus bit 2 output VLYNQ_TXD2.  
HD6/VLYNQ_TXD1/  
PTRDY/GP[64]  
IPD  
DVDD33  
This pin is multiplexed between HPI, VLYNQ, PCI, and GPIO.  
For VLYNQ, it is transmit bus bit 1 output VLYNQ_TXD1.  
HD5/VLYNQ_TXD0/  
PIRDY/GP[63]  
IPD  
DVDD33  
This pin is multiplexed between HPI, VLYNQ, PCI, and GPIO.  
For VLYNQ, it is transmit bus bit 0 output VLYNQ_TXD0.  
HD4/VLYNQ_RXD3/  
PFRAME/GP[62]  
IPD  
DVDD33  
This pin is multiplexed between HPI, VLYNQ, PCI, and GPIO.  
For VLYNQ, it is receive bus bit 3 input VLYNQ_RXD3.  
HD3/VLYNQ_RXD2/  
PCBE2/GP[61]  
IPD  
DVDD33  
This pin is multiplexed between HPI, VLYNQ, PCI, and GPIO.  
For VLYNQ, it is receive bus bit 2 input VLYNQ_RXD2.  
HD2/VLYNQ_RXD1/  
AD17/GP[60]  
IPD  
DVDD33  
This pin is multiplexed between HPI, VLYNQ, PCI, and GPIO.  
For VLYNQ, it is receive bus bit 1 input VLYNQ_RXD1.  
HD1/VLYNQ_RXD0/  
AD16/GP[59]  
IPD  
DVDD33  
This pin is multiplexed between HPI, VLYNQ, PCI, and GPIO.  
For VLYNQ, it is receive bus bit 0 input VLYNQ_RXD0.  
(1) I = Input, O = Output, Z = High impedance, S = Supply voltage, GND = Ground, A = Analog signal  
(2) IPD = Internal pulldown, IPU = Internal pullup. For more detailed information on pullup/pulldown resistors and situations where external  
pullup/pulldown resistors are required, see Section 3.9.1, Pullup/Pulldown Resistors.  
(3) Specifies the operating I/O supply voltage for each signal  
Submit Documentation Feedback  
Device Overview  
47  
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
Table 2-18. Host-Port Interface Terminal Functions  
SIGNAL  
TYPE(1)  
OTHER(2)(3)  
DESCRIPTION  
ZWT  
NO.  
ZDU  
NO.  
NAME  
Host-Port Interface (HPI)  
HD0/VLYNQ_SCRUN/  
AD18/GP[58]  
IPU  
DVDD33  
C8  
D7  
A8  
B7  
C7  
A6  
D6  
B6  
A5  
C6  
B5  
C5  
D5  
B4  
D4  
A4  
B9  
C9  
A9  
B8  
C8  
A7  
C7  
B7  
A6  
C6  
B6  
A5  
C5  
B4  
B5  
A4  
HD1/VLYNQ_RXD0/  
AD16/GP[59]  
HD2/VLYNQ_RXD1/  
AD17/GP[60]  
HD3/VLYNQ_RXD2/  
PCBE2/GP[61]  
HD4/VLYNQ_RXD3/  
PFRAME/GP[62]  
HD5/VLYNQ_TXD0/  
PIRDY/GP[63]  
HD6/VLYNQ_TXD1/  
PTRDY/GP[64]  
This pin is multiplexed between HPI, VLYNQ or EMAC, PCI,  
and GPIO.  
In HPI mode, these pins are host-port data pins HD[15:0]  
(I/O/Z) and are multiplexed internally with the HPI address  
lines.  
HD7/VLYNQ_TXD2/  
PDEVSEL/GP[65]  
I/O/Z  
HD8/VLYNQ_TXD3/  
PPERR/GP[66]  
IPD  
DVDD33  
HD9/MCOL/  
PSTOP/GP[67]  
HD10/MCRS/  
PSERR/GP[68]  
HD11/MTXD3/  
PCBE1/GP[69]  
HD12/MTXD2/  
PPAR/GP[70]  
HD13/MTXD1/  
AD14/GP[71]  
HD14/MTXD0/  
AD15/GP[72]  
HD15/MTXCLK/  
AD12/GP[73]  
This pin is multiplexed between HPI, EMAC, PCI, and GPIO.  
In HPI mode, this pin is half-word identification input HHWIL  
(I).  
HHWIL/MRXDV/  
AD13/GP[74]  
IPD  
DVDD33  
C4  
D3  
D3  
C4  
I/O/Z  
I/O/Z  
This pin is multiplexed between HPI, EMAC, PCI, and GPIO.  
In HPI mode, this pin is control input 1 HCNTL1 (I). The state  
of HCNTL1 and HCNTL0 determines if address, data, or  
control information is being transmitted between an external  
host and the DM6433.  
HCNTL1/MTXEN/  
AD11/GP[75]  
IPD  
DVDD33  
This pin is multiplexed between HPI, EMAC, PCI, and GPIO.  
In HPI mode, this pin is control input 0 HCNTL0 (I). The state  
of HCNTL1 and HCNTL0 determines if address, data, or  
control information is being transmitted between an external  
host and the DM6433.  
HCNTL0/MRXER/  
AD10/GP[76]  
IPD  
DVDD33  
B3  
B2  
I/O/Z  
This pin is multiplexed between HPI, EMAC, PCI, and GPIO.  
In HPI mode, this pin is host read or write select input  
HR/W(I).  
HR/W/MRXCLK/  
AD8/GP[77]  
IPD  
DVDD33  
A3  
C3  
A3  
C2  
I/O/Z  
I/O/Z  
HDS2/MRXD0/  
AD9/GP[78]  
IPU  
DVDD33  
This pin is multiplexed between HPI, EMAC, PCI, and GPIO.  
In HPI mode, this pin is host data strobe input 2 HDS2 (I).  
(1) I = Input, O = Output, Z = High impedance, S = Supply voltage, GND = Ground, A = Analog signal  
(2) IPD = Internal pulldown, IPU = Internal pullup. For more detailed information on pullup/pulldown resistors and situations where external  
pullup/pulldown resistors are required, see Section 3.9.1, Pullup/Pulldown Resistors.  
(3) Specifies the operating I/O supply voltage for each signal  
48  
Device Overview  
Submit Documentation Feedback  
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
Table 2-18. Host-Port Interface Terminal Functions (continued)  
SIGNAL  
TYPE(1)  
OTHER(2)(3)  
DESCRIPTION  
ZWT  
NO.  
ZDU  
NO.  
NAME  
HDS1/MRXD1/  
AD7/GP[79]  
IPU  
DVDD33  
This pin is multiplexed between HPI, EMAC, PCI, and GPIO.  
In HPI mode, this pin is host data strobe input 1 HDS1 (I).  
B2  
B3  
I/O/Z  
I/O/Z  
This pin is multiplexed between HPI, EMAC, PCI, and GPIO.  
In HPI mode, this pin is host ready output from DSP to host  
(O/Z).  
HRDY/MRXD2/  
PCBE0/GP[80]  
IPU  
DVDD33  
D2  
C3  
This pin is multiplexed between HPI, MDIO, PCI, and GPIO.  
In HPI mode, this pin is HPI active low chip select input HCS  
(I).  
HCS/MDCLK/  
AD5/GP[81]  
IPU  
DVDD33  
C1  
C2  
D1  
D2  
I/O/Z  
I/O/Z  
HINT/RXD3/  
AD6/GP[82]  
IPU  
DVDD33  
This pin is multiplexed between HPI, EMAC, PCI, and GPIO.  
In HPI mode, this pin is host interrupt output HINT (O/Z).  
This pin is multiplexed between HPI, MDIO, PCI, and GPIO.  
In HPI mode, this pin is host address strobe HAS (I).  
For proper HPI operation, if this pin is routed out, it must be  
pulled up via an external resistor.  
HAS/MDIO/  
AD3/GP[83]  
IPU  
DVDD33  
D1  
C1  
I/O/Z  
Submit Documentation Feedback  
Device Overview  
49  
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
Table 2-19. VPBE Terminal Functions  
SIGNAL  
TYPE(1)  
OTHER(2)(3)  
VIDEO OUT (VPBE)  
DESCRIPTION  
ZWT  
NO.  
ZDU  
NO.  
NAME  
HSYNC/EM_CS5/  
GP[33]  
IPD  
DVDD33  
This pin is multiplexed between VPBE, EMIFA, and GPIO.  
In VPBE mode, this pin is the VPBE Horizontal Sync (I/O/Z).  
F19  
E19  
D19  
G19  
D16  
D18  
D17  
E16  
E18  
E17  
F16  
F17  
F18  
F15  
G15  
G16  
G17  
H17  
H16  
H15  
C18  
J22  
H22  
G22  
K22  
E21  
G20  
E22  
F20  
G21  
F22  
F21  
H20  
J20  
K20  
L20  
H21  
K19  
L19  
J21  
K21  
D22  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
VSYNC/EM_CS4/  
GP[32]  
IPD  
DVDD33  
This pin is multiplexed between VPBE, EMIFA, and GPIO.  
In VPBE mode, this pin is the VPBE Vertical Sync (I/O/Z).  
IPD  
DVDD33  
This pin is multiplexed between VPBE and GPIO.  
In VPBE mode, this pin is the VPBE Clock Output.  
VCLK/GP[31]  
IPD  
DVDD33  
This pin is multiplexed between VPBE and GPIO.  
In VPBE mode, this pin is the VPBE Clock Input.  
VPBECLK/GP[30]  
COUT0/EM_D[0]/  
GP[14]  
IPD  
DVDD33  
This pin is multiplexed between VPBE (VENC), EMIFA, and GPIO.  
In VPBE mode, this pin is the video encoder (VENC) output COUT0.  
COUT1/EM_D[1]/  
GP[15]  
IPD  
DVDD33  
This pin is multiplexed between VPBE(VENC), EMIFA, and GPIO.  
In VPBE mode, this pin is the video encoder (VENC) output COUT1.  
COUT2/EM_D[2]/  
GP[16]  
IPD  
DVDD33  
This pin is multiplexed between VPBE(VENC), EMIFA, and GPIO.  
In VPBE mode, this pin is the video encoder (VENC) output COUT2.  
COUT3/EM_D[3]/  
GP[17]  
IPD  
DVDD33  
This pin is multiplexed between VPBE(VENC), EMIFA, and GPIO.  
In VPBE mode, this pin is the video encoder (VENC) output COUT3.  
COUT4/EM_D[4]/  
GP[18]  
IPD  
DVDD33  
This pin is multiplexed between VPBE(VENC), EMIFA, and GPIO.  
In VPBE mode, this pin is the video encoder (VENC) output COUT4.  
COUT5/EM_D[5]/  
GP[19]  
IPD  
DVDD33  
This pin is multiplexed between VPBE(VENC), EMIFA, and GPIO.  
In VPBE mode, this pin is the video encoder (VENC) output COUT5.  
COUT6/EM_D[6]/  
GP[20]  
IPD  
DVDD33  
This pin is multiplexed between VPBE(VENC), EMIFA, and GPIO.  
In VPBE mode, this pin is the video encoder (VENC) output COUT6.  
COUT7/EM_D[7]/  
GP[21]  
IPD  
DVDD33  
This pin is multiplexed between VPBE (VENC), EMIFA, and GPIO.  
In VPBE mode, this pin is the video encoder (VENC) output COUT7.  
YOUT0/GP[22]/  
(BOOTMODE0)  
IPD  
DVDD33  
YOUT1/GP[23]/  
(BOOTMODE1)  
IPD  
DVDD33  
YOUT2/GP[24]/  
(BOOTMODE2)  
IPD  
DVDD33  
These pins are multiplexed between VPBE (VENC) and GPIO.  
After reset, these are video encoder (VENC) outputs 6:0, YOUT[6:0].  
YOUT3/GP[25]/  
(BOOTMODE3)  
IPD  
DVDD33  
For proper DM6433 device operation, the YOUT6 pin must be pulled  
down via an external resistor.  
For proper DM6433 device operation, the YOUT5 pin must be pulled  
up via an external resistor.  
YOUT4/GP[26]/  
(FASTBOOT)  
IPD  
DVDD33  
IPU  
DVDD33  
YOUT5/GP[27]  
YOUT6/  
GP[28]  
IPD  
DVDD33  
YOUT7/  
GP[29]  
IPD  
DVDD33  
This pin is multiplexed between VPBE (VENC) and GPIO.  
In VPBE mode, this pin is the VENC output 7, YOUT7.  
LCD_OE/EM_CS3/  
GP[13]  
IPD  
DVDD33  
This pin is multiplexed between VPBE, EMIFA, and GPIO.  
In VPBE mode, it is the LCD output enable LCD_OE (O/Z).  
This pin is multiplexed between VPBE, EMIFA, and GPIO.  
In VPBE mode, this pin is the RGB666/888 Green output data bit 0,  
G0.  
G0/EM_CS2/  
GP[12]  
IPD  
DVDD33  
C19  
B18  
C22  
D21  
I/O/Z  
I/O/Z  
This pin is multiplexed between VPBE, EMIFA, and GPIO.  
In VPBE mode, this pin is the RGB666/888 Blue output data bit 0, B0  
or LCD interlaced LCD_FIELD (I/O/Z).  
B0/LCD_FIELD/  
EM_A[3]/GP[11]  
IPD  
DVDD33  
(1) I = Input, O = Output, Z = High impedance, S = Supply voltage, GND = Ground, A = Analog signal  
(2) IPD = Internal pulldown, IPU = Internal pullup. For more detailed information on pullup/pulldown resistors and situations where external  
pullup/pulldown resistors are required, see Section 3.9.1, Pullup/Pulldown Resistors.  
(3) Specifies the operating I/O supply voltage for each signal  
50  
Device Overview  
Submit Documentation Feedback  
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
Table 2-19. VPBE Terminal Functions (continued)  
SIGNAL  
TYPE(1)  
OTHER(2)(3)  
DESCRIPTION  
ZWT  
NO.  
ZDU  
NO.  
NAME  
R0/EM_A[4]/  
GP[10]/  
(AEAW2/PLLMS2)  
IPD  
DVDD33  
This pin is multiplexed between VPBE, EMIFA, and GPIO.  
In VPBE mode, this pin is the RGB666/888 Red output data bit 0, R0.  
A17  
A16  
B16  
B21  
B20  
A20  
I/O/Z  
I/O/Z  
I/O/Z  
G1/EM_A[1]/  
(ALE)/GP[9]/  
(AEAW1/PLLMS1)  
This pin is multiplexed between VPBE, EMIFA, and GPIO.  
In VPBE mode, this pin is the RGB666/888 Green output data bit 1,  
G1.  
IPD  
DVDD33  
B1/EM_A[2]/  
(CLE)/GP[8]/  
(AEAW0/PLLMS0)  
This pin is multiplexed between VPBE, EMIFA, and GPIO.  
In VPBE mode, this pin is the RGB666/888 Blue output data bit 1,  
B1.  
IPD  
DVDD33  
R1/EM_A[0]/  
GP[7]/(AEM2)  
IPD  
DVDD33  
This pin is multiplexed between VPBE, EMIFA, and GPIO.  
In VPBE mode, this pin is the RGB666/888 Red output data bit 1, R1.  
B17  
C17  
C21  
E20  
I/O/Z  
I/O/Z  
R2/EM_BA[0]/  
GP[6]/(AEM1)  
IPD  
DVDD33  
This pin is multiplexed between VPBE, EMIFA, and GPIO.  
In VPBE mode, this pin is the RGB666/888 Red output data bit 2, R2.  
This pin is multiplexed between VPBE, EMIFA, and GPIO.  
In VPBE mode, this pin is the RGB666/888 Blue output data bit 2,  
B2.  
B2/EM_BA[1]/  
GP[5]/(AEM0)  
IPD  
DVDD33  
C16  
C20  
I/O/Z  
Submit Documentation Feedback  
Device Overview  
51  
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
Table 2-20. DAC [Part of VPBE] Terminal Functions  
SIGNAL  
ZWT  
TYPE(1)  
OTHER(2)(3)  
DAC[A:D]  
DESCRIPTION  
ZDU  
NO.  
NAME  
NO.  
Reference voltage input (0.5 V)  
Note: If the DAC peripheral is not being used, for proper device  
(3)  
DAC_VREF  
DAC_IOUT_A  
DAC_IOUT_B  
DAC_IOUT_C  
DAC_IOUT_D  
VDDA_1P8V  
N19  
V22  
V21  
U22  
T21  
T22  
V20  
U20  
A I  
A O  
A O  
A O  
A O  
S
operation, this pin must be tied directly to VSS  
.
Output of DAC A  
P19  
P18  
N18  
N17  
P17  
P16  
Note: If the DAC peripheral is not being used, for proper device  
operation, this pin must be left unconnected.  
Output of DAC B  
Note: If the DAC peripheral is not being used, for proper device  
operation, this pin must be left unconnected.  
Output of DAC C  
Note: If the DAC peripheral is not being used, for proper device  
operation, this pin must be left unconnected.  
Output of DAC D  
Note: If the DAC peripheral is not being used, for proper device  
operation, this pin must be left unconnected.  
1.8 V Analog I/O power  
(3)  
(3)  
Note: If the DAC peripheral is not being used, for proper device  
operation, this pin must be tied directly to VSS  
.
Analog I/O ground  
VSSA_1P8V  
GND  
Note: If the DAC peripheral is not being used, for proper device  
operation, this pin must be tied directly to VSS  
.
1.20 V Analog core supply voltage  
(-7/-6/-5/-4/-L/-Q6/-Q5/-Q4 devices)  
1.05 V Analog core supply voltage  
(-7/-6/-5/-4/-L/-Q5 devices)  
(3)  
VDDA_1P1V  
N15  
T20  
S
Note: If the DAC peripheral is not being used, for proper device  
operation, this pin must be tied directly to VSS  
.
Analog core ground  
(3)  
(3)  
VSSA_1P1V  
P15  
N16  
T19  
U21  
GND  
A I  
Note: If the DAC peripheral is not being used, for proper device  
operation, this pin must be tied directly to VSS  
.
External resistor connection for current bias configuration.  
This must be connected via a 4 kresistor to VSSA_1P8V  
.
DAC_RBIAS  
Note: If the DAC peripheral is not being used, for proper device  
operation, this pin must be tied directly to VSS  
.
(1) I = Input, O = Output, Z = High impedance, S = Supply voltage, GND = Ground, A = Analog signal  
(2) Specifies the operating I/O supply voltage for each signal  
(3) For more information, see the Recommended Operating Conditions table  
52  
Device Overview  
Submit Documentation Feedback  
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
Table 2-21. I2C Terminal Functions  
SIGNAL  
ZWT  
TYPE(1)  
OTHER(2)(3)  
DESCRIPTION  
ZDU  
NO.  
NAME  
NO.  
I2C  
For I2C, this pin is I2C clock. In I2C master mode, this pin is an  
output. In I2C slave mode, this pin is an input.  
When the I2C module is used, for proper device operation, this pin  
must be pulled up via an external resistor.  
SCL  
SDA  
M2  
M3  
N2  
P2  
I/O/Z  
I/O/Z  
DVDD33  
For I2C, this pin is the I2C bi-directional data signal.  
When the I2C module is used, for proper device operation, this pin  
must be pulled up via an external resistor.  
DVDD33  
(1) I = Input, O = Output, Z = High impedance, S = Supply voltage, GND = Ground, A = Analog signal  
(2) IPD = Internal pulldown, IPU = Internal pullup. For more detailed information on pullup/pulldown resistors and situations where external  
pullup/pulldown resistors are required, see Section 3.9.1, Pullup/Pulldown Resistors.  
(3) Specifies the operating I/O supply voltage for each signal  
Submit Documentation Feedback  
Device Overview  
53  
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
Table 2-22. Multichannel Buffered Serial Port 0 (McBSP0) Terminal Functions  
SIGNAL  
ZWT  
NO.  
TYPE(1)  
OTHER(2)(3)  
DESCRIPTION  
ZDU  
NO.  
NAME  
Multichannel Buffered Serial Port 0 (McBSP0)  
For more details on pin multiplexing, see Section 3.7, Multiplexed Pin Configurations.  
CLKS0/TOUT0L/  
GP[97]  
IPD  
DVDD33  
This pin is multiplexed between McBSP0, Timer0, and GPIO.  
For McBSP0, it is McBSP0 external clock source (I).  
J4  
H1  
J2  
L3  
J1  
K1  
I/O/Z  
I/O/Z  
I/O/Z  
ACLKR0/CLKX0/  
GP[99]  
IPD  
DVDD33  
This pin is multiplexed between McASP0, McBSP0, and GPIO.  
For McBSP0, it is McBSP0 transmit clock CLKX0 (I/O/Z).  
AHCLKR0/CLKR0/  
GP[101]  
IPD  
DVDD33  
This pin is multiplexed between McASP0, McBSP0, and GPIO.  
For McBSP0, it is McBSP0 receive clock CLKR0 (I/O/Z).  
This pin is multiplexed between McASP0, McBSP0, and GPIO.  
For McBSP0, it is McBSP0 transmit frame synchronization FSX0  
(I/O/Z).  
AXR0[2]/FSX0/  
GP[103]  
IPD  
DVDD33  
H3  
G4  
J2  
J3  
I/O/Z  
I/O/Z  
This pin is multiplexed between McASP0, McBSP0, and GPIO.  
For McBSP0, it is McBSP0 receive frame synchronization FSR0  
(I/O/Z).  
AXR0[3]/FSR0/  
GP[102]  
IPD  
DVDD33  
AXR0[1]/DX0/  
GP[104]  
IPD  
DVDD33  
This pin is multiplexed between McASP0, McBSP0, and GPIO.  
For McBSP0, it is McBSP0 data transmit output DX0 (O/Z).  
J3  
K2  
K3  
I/O/Z  
I/O/Z  
AFSR0/DR0/  
GP[100]  
IPD  
DVDD33  
This pin is multiplexed between McASP0, McBSP0, and GPIO.  
For McBSP0, it is McBSP0 data receive input DR0 (I).  
H4  
(1) I = Input, O = Output, Z = High impedance, S = Supply voltage, GND = Ground, A = Analog signal  
(2) IPD = Internal pulldown, IPU = Internal pullup. For more detailed information on pullup/pulldown resistors and situations where external  
pullup/pulldown resistors are required, see Section 3.9.1, Pullup/Pulldown Resistors.  
(3) Specifies the operating I/O supply voltage for each signal  
54  
Device Overview  
Submit Documentation Feedback  
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
Table 2-23. Multichannel Audio Serial Port (McASP0) Terminal Functions  
SIGNAL  
TYPE(1)  
OTHER(2)(3)  
DESCRIPTION  
ZWT  
NO.  
ZDU  
NO.  
NAME  
McASP0  
AMUTEIN0/  
GP[109]  
IPD  
DVDD33  
This pin is multiplexed between McASP0 and GPIO.  
For McASP0, it is McASP0 mute input AMUTEIN0 (I).  
F2  
G3  
H1  
G3  
H3  
J1  
I/O/Z  
I/O/Z  
I/O/Z  
IPD  
DVDD33  
This pin is multiplexed between McASP0 and GPIO.  
For McASP0, it is McASP0 mute output AMUTE0 (O/Z).  
AMUTE0/GP[110]  
ACLKR0/CLKX0/  
GP[99]  
IPD  
DVDD33  
This pin is multiplexed between McASP0, McBSP0, and GPIO.  
For McASP0, it is McASP0 receive bit clock ACLKR0 (I/O/Z).  
This pin is multiplexed between McASP0, McBSP0, and GPIO.  
For McASP0, it is McASP0 receive high-frequency master clock  
AHCLKR0 (I/O/Z).  
AHCLKR0/CLKR0/  
GP[101]  
IPD  
DVDD33  
J2  
F1  
G1  
K1  
G1  
H1  
I/O/Z  
I/O/Z  
I/O/Z  
IPD  
DVDD33  
This pin is multiplexed between McASP0 and GPIO.  
For McASP0, it is McASP0 transmit bit clock ACLKX0 (I/O/Z).  
ACLKX0/GP[106]  
AHCLKX0/GP[108]  
This pin is multiplexed between McASP0 and GPIO.  
For McASP0, it is McASP0 transmit high-frequency master clock  
AHCLKX0 (I/O/Z).  
IPD  
DVDD33  
This pin is multiplexed between McASP0, McBSP0, and GPIO.  
For McASP0, it is McASP0 receive frame synchronization AFSR0  
(I/O/Z).  
AFSR0/DR0/  
GP[100]  
IPD  
DVDD33  
H4  
G2  
G4  
H3  
J3  
K3  
G2  
J3  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
This pin is multiplexed between McASP0 and GPIO.  
For McASP0, it is McASP0 transmit frame synchronization AFSX0  
(I/O/Z).  
IPD  
DVDD33  
AFSX0/GP[107]  
This pin is multiplexed between McASP0, McBSP0, and GPIO.  
For McASP0, it is McASP0 transmit/receive (TX/RX) data pin 3  
AXR0[3] (I/O/Z).  
AXR0[3]/FSR0/  
GP[102]  
IPD  
DVDD33  
This pin is multiplexed between McASP0, McBSP0, and GPIO.  
For McASP0, it is McASP0 transmit/receive (TX/RX) data pin 2  
AXR0[2] (I/O/Z).  
AXR0[2]/FSX0/  
GP[103]  
IPD  
DVDD33  
J2  
This pin is multiplexed between McASP0, McBSP0, and GPIO.  
For McASP0, it is McASP0 transmit/receive (TX/RX) data pin 1  
AXR0[1] (I/O/Z).  
AXR0[1]/DX0/  
GP[104]  
IPD  
DVDD33  
K2  
H2  
This pin is multiplexed between McASP0 and GPIO.  
For McASP0, it is McASP0 transmit/receive (TX/RX) data pin 0  
AXR0[0] (I/O/Z).  
IPD  
DVDD33  
AXR0[0]/GP[105]  
H2  
(1) I = Input, O = Output, Z = High impedance, S = Supply voltage, GND = Ground, A = Analog signal  
(2) IPD = Internal pulldown, IPU = Internal pullup. For more detailed information on pullup/pulldown resistors and situations where external  
pullup/pulldown resistors are required, see Section 3.9.1, Pullup/Pulldown Resistors.  
(3) Specifies the operating I/O supply voltage for each signal  
Submit Documentation Feedback  
Device Overview  
55  
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
Table 2-24. UART0 Terminal Functions  
SIGNAL  
ZWT  
TYPE(1)  
OTHER(2)(3)  
DESCRIPTION  
ZDU  
NO.  
NAME  
NO.  
UART0  
This pin is multiplexed between UART0 (Data) and GPIO.  
URXD0/  
GP[85]  
IPU  
DVDD33  
L2  
K3  
L1  
M2  
N1  
P1  
I/O/Z  
I/O/Z  
I/O/Z  
When used by UART0 this pin is the receive data input URXD0.  
UTXD0/  
GP[86]  
IPU  
DVDD33  
This pin is multiplexed between UART0 (Data) and GPIO.  
In UART0 mode, this pin is the transmit data output UTXD0.  
UCTS0  
GP[87]  
IPU  
DVDD33  
This pin is multiplexed between the UART0 (Flow Control) and GPIO.  
In UART0 mode, this pin is the clear to send input UCTS0.  
URTS0  
PWM0  
GP[88]  
This pin is multiplexed between the UART0 (Flow Control), PWM0,  
and GPIO.  
In UART0 mode, this pin is the ready to send output URTS0.  
IPU  
DVDD33  
L3  
M3  
I/O/Z  
(1) I = Input, O = Output, Z = High impedance, S = Supply voltage, GND = Ground, A = Analog signal  
(2) IPD = Internal pulldown, IPU = Internal pullup. For more detailed information on pullup/pulldown resistors and situations where external  
pullup/pulldown resistors are required, see Section 3.9.1, Pullup/Pulldown Resistors.  
(3) Specifies the operating I/O supply voltage for each signal  
56  
Device Overview  
Submit Documentation Feedback  
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
Table 2-25. PWM0, PWM1, and PWM2 Terminal Functions  
SIGNAL  
ZWT  
TYPE(1)  
OTHER(2)(3)  
DESCRIPTION  
ZDU  
NO.  
NAME  
NO.  
PWM2  
This pin is multiplexed between the System Clock generator (PLL1),  
PWM2, and GPIO.  
For PWM2, this pin is output PWM2.  
CLKOUT0/PWM2/  
GP[84]  
IPD  
DVDD33  
M1  
R1  
F3  
I/O/Z  
I/O/Z  
I/O/Z  
PWM1  
IPD  
DVDD33  
This pin is multiplexed between GPIO and PWM1.  
For PWM1, this pin is output PWM1.  
GP[4]/PWM1  
F3  
L3  
PWM0  
This pin is multiplexed between the UART0 (Flow Control), PWM0,  
and GPIO.  
For PWM0, this pin is output PWM0.  
URTS0/PWM0/  
GP[88]  
IPU  
DVDD33  
M3  
(1) I = Input, O = Output, Z = High impedance, S = Supply voltage, GND = Ground, A = Analog signal  
(2) IPD = Internal pulldown, IPU = Internal pullup. For more detailed information on pullup/pulldown resistors and situations where external  
pullup/pulldown resistors are required, see Section 3.9.1, Pullup/Pulldown Resistors.  
(3) Specifies the operating I/O supply voltage for each signal  
Submit Documentation Feedback  
Device Overview  
57  
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
Table 2-26. Timer 0, Timer 1, and Timer 2 Terminal Functions  
SIGNAL  
ZWT  
NO.  
TYPE(1)  
OTHER(2)(3)  
DESCRIPTION  
ZDU  
NO.  
NAME  
Timer 2  
No external pins. The Timer 2 (watchdog) peripheral pins are not pinned out as external pins.  
Timer 1  
This pin is multiplexed between the Timer 1 and GPIO.  
For Timer 1, this pin is the timer 1 input pin for the lower 32-bit  
counter  
TINP1L/  
GP[56]  
IPU  
DVDD33  
L4  
K4  
P3  
N3  
I/O/Z  
I/O/Z  
This pin is multiplexed between the Timer 1 and GPIO.  
For Timer 1, this pin is the timer 1 output pin for the lower 32-bit  
counter  
TOUT1L/  
GP[55]  
IPU  
DVDD33  
Timer 0  
This pin is multiplexed between the Timer 0 and GPIO.  
For Timer 0, this pin is the timer 0 input pin for the lower 32-bit  
counter  
TINP0L/  
GP[98]  
IPD  
DVDD33  
K2  
J4  
L2  
L3  
I/O/Z  
I/O/Z  
CLKS0/  
TOUT0L/  
GP[97]  
This pin is multiplexed between the McBSP0, Timer 0, and GPIO.  
For Timer 0, this pin is the timer 0 output pin for the lower 32-bit  
counter  
IPD  
DVDD33  
(1) I = Input, O = Output, Z = High impedance, S = Supply voltage, GND = Ground, A = Analog signal  
(2) IPD = Internal pulldown, IPU = Internal pullup. For more detailed information on pullup/pulldown resistors and situations where external  
pullup/pulldown resistors are required, see Section 3.9.1, Pullup/Pulldown Resistors.  
(3) Specifies the operating I/O supply voltage for each signal  
58  
Device Overview  
Submit Documentation Feedback  
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
Table 2-27. GPIO Terminal Functions  
SIGNAL  
ZWT  
NO.  
TYPE(1)  
OTHER(2)(3)  
DESCRIPTION  
ZDU  
NO.  
NAME  
GPIO  
100 out of 111 GPIO pins on the DM6433 device are multiplexed with other peripherals pin functions (e.g., VPBE, PCI, HPI, VLYNQ,  
EMAC/MDIO, McASP0, McBSP0, Timer 0, Timer 1, UART0, PWM0, PWM1, PWM2, EMIFA, and the CLKOUT0 pin), see the  
peripheral-specific Terminal Functions tables for the GPIO multiplexing.  
(1) I = Input, O = Output, Z = High impedance, S = Supply voltage, GND = Ground, A = Analog signal  
(2) IPD = Internal pulldown, IPU = Internal pullup. For more detailed information on pullup/pulldown resistors and situations where external  
pullup/pulldown resistors are required, see Section 3.9.1, Pullup/Pulldown Resistors.  
(3) Specifies the operating I/O supply voltage for each signal  
Submit Documentation Feedback  
Device Overview  
59  
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
Table 2-28. Standalone GPIO 3.3 V Terminal Functions  
SIGNAL  
ZWT  
TYPE(1)  
OTHER(2)(3)  
Standalone GPIO 3.3 V  
DESCRIPTION  
ZDU  
NO.  
NAME  
NO.  
IPD  
DVDD33  
GP[36]  
GP[37]  
GP[38]  
GP[39]  
GP[40]  
GP[41]  
GP[42]  
GP[43]  
GP[52]  
GP[53]  
GP[54]  
C15  
B15  
C14  
B14  
D14  
C13  
B13  
A12  
A15  
A13  
A14  
B19  
B18  
B17  
A16  
C18  
B16  
B15  
A15  
A19  
A17  
A18  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
I/O/Z  
This pin functions as standalone GPIO pin 36.  
This pin functions as standalone GPIO pin 37.  
This pin functions as standalone GPIO pin 38.  
This pin functions as standalone GPIO pin 39.  
This pin functions as standalone GPIO pin 40.  
This pin functions as standalone GPIO pin 41.  
This pin functions as standalone GPIO pin 42.  
This pin functions as standalone GPIO pin 43.  
This pin functions as standalone GPIO pin 52.  
This pin functions as standalone GPIO pin 53.  
This pin functions as standalone GPIO pin 54.  
IPD  
DVDD33  
IPD  
DVDD33  
IPD  
DVDD33  
IPD  
DVDD33  
IPD  
DVDD33  
IPD  
DVDD33  
IPD  
DVDD33  
IPD  
DVDD33  
IPD  
DVDD33  
IPD  
DVDD33  
(1) I = Input, O = Output, Z = High impedance, S = Supply voltage, GND = Ground, A = Analog signal  
(2) IPD = Internal pulldown, IPU = Internal pullup. For more detailed information on pullup/pulldown resistors and situations where external  
pullup/pulldown resistors are required, see Section 3.9.1, Pullup/Pulldown Resistors.  
(3) Specifies the operating I/O supply voltage for each signal  
60  
Device Overview  
Submit Documentation Feedback  
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
Table 2-29. Reserved Terminal Functions  
SIGNAL  
ZWT  
TYPE(1)  
OTHER(2)(3)  
DESCRIPTION  
ZDU  
NO.  
NAME  
NO.  
RESERVED  
RSV1  
RSV2  
RSV3  
RSV4  
RSV5  
E5  
K5  
D4  
L4  
Reserved. (Leave unconnected, do not connect to power or ground)  
Reserved. (Leave unconnected, do not connect to power or ground)  
Reserved. (Leave unconnected, do not connect to power or ground)  
Reserved. (Leave unconnected, do not connect to power or ground)  
Reserved. (Leave unconnected, do not connect to power or ground)  
L5  
M4  
L15  
R13  
P19  
W16  
(1) I = Input, O = Output, Z = High impedance, S = Supply voltage, GND = Ground, A = Analog signal  
(2) IPD = Internal pulldown, IPU = Internal pullup. For more detailed information on pullup/pulldown resistors and situations where external  
pullup/pulldown resistors are required, see Section 3.9.1, Pullup/Pulldown Resistors.  
(3) Specifies the operating I/O supply voltage for each signal  
Submit Documentation Feedback  
Device Overview  
61  
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
Table 2-30. Supply Terminal Functions  
SIGNAL  
ZWT  
TYPE(1) OTHER  
SUPPLY VOLTAGE PINS  
DESCRIPTION  
ZDU  
NO.  
NAME  
NO.  
A1  
A2  
A2  
A21  
B1  
A18  
E6  
D6  
E8  
D8  
F5  
D10  
D16  
D18  
E3  
F7  
F9  
F11  
F13  
G6  
E5  
E7  
G8  
E9  
G10  
G12  
G14  
H5  
E11  
E13  
E15  
E17  
E19  
F4  
H18  
J1  
J6  
F18  
G5  
J14  
J16  
K15  
K17  
L6  
3.3 V I/O supply voltage  
(see the Power-Supply Decoupling section of this data manual)  
DVDD33  
S
G19  
H4  
H18  
J5  
M5  
M15  
N6  
J19  
K4  
K18  
L1  
P1  
L5  
L21  
M18  
M20  
N5  
N19  
P4  
P18  
P20  
P22  
R5  
T4  
(1) I = Input, O = Output, Z = High impedance, S = Supply voltage, GND = Ground, A = Analog signal  
62  
Device Overview  
Submit Documentation Feedback  
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
Table 2-30. Supply Terminal Functions (continued)  
SIGNAL  
ZWT  
TYPE(1) OTHER  
DESCRIPTION  
ZDU  
NO.  
NAME  
NO.  
L14  
P5  
U5  
V1  
P7  
V4  
P9  
V6  
P11  
P13  
R4  
V8  
V10  
V12  
V14  
V16  
V18  
W7  
R6  
R8  
1.8 V DDR2 I/O supply voltage  
(see the Power-Supply Decoupling section of this data manual)  
DVDDR2  
S
R10  
R12  
R14  
R16  
T5  
W9  
W11  
W17  
W19  
AA1  
AB21  
AB22  
J10  
J11  
J12  
J13  
K9  
V1  
W18  
W19  
H7  
H9  
H11  
H13  
J8  
J10  
J12  
K7  
K14  
L9  
L13  
L14  
M9  
K9  
K11  
K13  
L8  
1.20 V supply voltage (-7/-6/-5/-4/-L/-Q6/-Q5/-Q4 devices)  
1.05 V core supply voltage (-7/-6/-5/-4/-L/-Q5 devices)  
(see the Power-Supply Decoupling section of this data manual)  
CVDD  
M10  
M14  
N9  
S
L10  
L12  
M7  
N14  
P10  
P11  
P12  
P13  
M9  
M11  
M13  
N8  
N10  
N12  
Submit Documentation Feedback  
Device Overview  
63  
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
Table 2-31. Ground Terminal Functions  
SIGNAL  
ZWT  
TYPE(1) OTHER  
DESCRIPTION  
ZDU  
NO.  
NAME  
NO.  
GROUND PINS  
A19  
B1  
A1  
A22  
B22  
D5  
B19  
E7  
E9  
D7  
E13  
F4  
D9  
D11  
D15  
D17  
E4  
F6  
F8  
F10  
F12  
F14  
G5  
E6  
E8  
E10  
E12  
E14  
E16  
E18  
F5  
G7  
G9  
G11  
G13  
G18  
H6  
F19  
G4  
VSS  
H8  
GND  
Ground pins  
H10  
H12  
H14  
H19  
J5  
G18  
H5  
H19  
J4  
J9  
J7  
J14  
J18  
K5  
J9  
J11  
J13  
J15  
J17  
J18  
K1  
K10  
K11  
K12  
K13  
L10  
L11  
L12  
L18  
L22  
M1  
K6  
K8  
K10  
K12  
K14  
K16  
M5  
(1) I = Input, O = Output, Z = High impedance, S = Supply voltage, GND = Ground, A = Analog signal  
64  
Device Overview  
Submit Documentation Feedback  
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
Table 2-31. Ground Terminal Functions (continued)  
SIGNAL  
ZWT  
TYPE(1) OTHER  
DESCRIPTION  
ZDU  
NO.  
NAME  
NO.  
L7  
M11  
M12  
M13  
M19  
N4  
L9  
L11  
L13  
L17  
L19  
M6  
N10  
N11  
N12  
N13  
N18  
P5  
M8  
M10  
M12  
M14  
M16  
M17  
M18  
M19  
N5  
P9  
P14  
P21  
R4  
R18  
R19  
R20  
R21  
R22  
T5  
N7  
N9  
N11  
N13  
N14  
P6  
VSS  
T18  
U4  
GND  
Ground pins  
P8  
P10  
P12  
P14  
R1  
U18  
U19  
V5  
V7  
R5  
V9  
R7  
V11  
V13  
V15  
V17  
V19  
W1  
R9  
R11  
R15  
R17  
R18  
R19  
V19  
W1  
W2  
W6  
W8  
W10  
W20  
W21  
W22  
AA22  
AB1  
AB2  
Submit Documentation Feedback  
Device Overview  
65  
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
2.7 Device Support  
2.7.1 Development Support  
TI offers an extensive line of development tools for the TMS320DM643x DMP platform, including tools to  
evaluate the performance of the processors, generate code, develop algorithm implementations, and fully  
integrate and debug software and hardware modules. The tool's support documentation is electronically  
available within the Code Composer Studio™ Integrated Development Environment (IDE).  
The following products support development of TMS320DM643x DMP-based applications:  
Software Development Tools:  
Code Composer Studio™ Integrated Development Environment (IDE): including Editor  
C/C++/Assembly Code Generation, and Debug plus additional development tools  
Scalable, Real-Time Foundation Software (DSP/BIOS™), which provides the basic run-time target  
software needed to support any SoC application.  
Hardware Development Tools:  
Extended Development System (XDS™) Emulator (supports TMS320DM643x DMP multiprocessor  
system debug) EVM (Evaluation Module)  
For a complete listing of development-support tools for the TMS320DM643x DMP platform, visit the  
Texas Instruments web site on the Worldwide Web at http://www.ti.com uniform resource locator  
(URL). For information on pricing and availability, contact the nearest TI field sales office or authorized  
distributor.  
2.8 Device and Development-Support Tool Nomenclature  
To designate the stages in the product development cycle, TI assigns prefixes to the part numbers of all  
DSP devices and support tools. Each DSP commercial family member has one of three prefixes: TMX,  
TMP, or TMS (e.g., TMS320DM6433ZWTQ6). Texas Instruments recommends two of three possible  
prefix designators for its support tools: TMDX and TMDS. These prefixes represent evolutionary stages of  
product development from engineering prototypes (TMX/TMDX) through fully qualified production  
devices/tools (TMS/TMDS).  
Device development evolutionary flow:  
TMX  
TMP  
TMS  
Experimental device that is not necessarily representative of the final device's electrical  
specifications.  
Final silicon die that conforms to the device's electrical specifications but has not completed  
quality and reliability verification.  
Fully-qualified production device.  
Support tool development evolutionary flow:  
TMDX  
Development-support product that has not yet completed Texas Instruments internal  
qualification testing.  
TMDS  
Fully qualified development-support product.  
TMX and TMP devices and TMDX development-support tools are shipped against the following  
disclaimer:  
"Developmental product is intended for internal evaluation purposes."  
TMS devices and TMDS development-support tools have been characterized fully, and the quality and  
reliability of the device have been demonstrated fully. TI's standard warranty applies.  
66  
Device Overview  
Submit Documentation Feedback  
 
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
Predictions show that prototype devices (TMX or TMP) have a greater failure rate than the standard  
production devices. Texas Instruments recommends that these devices not be used in any production  
system because their expected end-use failure rate still is undefined. Only qualified production devices are  
to be used.  
TI device nomenclature also includes a suffix with the device family name. This suffix indicates the  
package type (for example, ZWT), the temperature range (for example, "Blank" is the commercial  
temperature range), and the device speed range in megahertz (for example, "6" indicates [600-MHz]).  
Figure 2-10 provides a legend for reading the complete device name for any TMS320DM643x DMP  
platform member.  
TMS 320  
DM6433  
(
)
ZWT  
(
)
( )  
DEVICE SPEED RANGE  
4 = 400 MHz  
5 = 500 MHz  
PREFIX  
TMX = Experimental device  
TMS = Qualified device  
6 = 600 MHz(D)  
7 = 700 MHz  
L = Low Power Device  
DEVICE FAMILY  
320 = TMS320™ DSP Family  
TEMPERATURE RANGE (JUNCTION)  
Blank  
= 0° C to 90° C, Commercial Grade  
= -40°C to 125°C, Automotive Grade  
Q
R
S
= 0° C to 90° C, Commercial Grade (Tape and Reel)  
= -40°C to 125°C, Automotive Grade (Tape and Reel)  
DEVICE  
C64x+™ DSP:  
DM6437  
DM6435  
PACKAGE TYPE(A)  
ZWT 361-pin plastic BGA, with Pb-Free soldered balls  
ZDU 376-pin plastic BGA, with Pb-Free soldered balls [Green]  
=
=
DM6433  
DM6431  
SILICON REVISION:  
Blank Revision 1.3  
=
A. BGA = Ball Grid Array  
B. For “TMX” initial devices, the device number is DM6437.  
C. Not all combinations are available. For more information, see the Orderable Devices table in the Packing Information section.  
D. The maximum CPU frequency for the -Q6 device is 660 MHz. See the PLL1 and PLL2 section for maximum operating  
frequencies of the PLL1 controller.  
E. The device speed range symbolization indicates the maximum CPU frequency when the core voltage (CVDD) is set to 1.2 V.  
To determine the maximum CPU frequency the core voltage is set to 1.05V, refer to the PLL1 and PLL2 section.  
Figure 2-10. Device Nomenclature  
Submit Documentation Feedback  
Device Overview  
67  
 
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
2.9 Documentation Support  
2.9.1 Related Documentation From Texas Instruments  
The following documents describe the TMS320DM643x Digital Media Processor (DMP). Copies of these  
documents are available on the Internet at www.ti.com. Tip: Enter the literature number in the search box  
provided at www.ti.com.  
The current documentation that describes the DM643x DMP, related peripherals, and other technical  
collateral, is available in the C6000 DSP product folder at: www.ti.com/c6000.  
SPRU978  
TMS320DM643x DMP DSP Subsystem Reference Guide. Describes the digital signal  
processor (DSP) subsystem in the TMS320DM643x Digital Media Processor (DMP).  
SPRU983  
TMS320DM643x DMP Peripherals Overview Reference Guide. Provides an overview and  
briefly describes the peripherals available on the TMS320DM643x Digital Media Processor  
(DMP).  
SPRAA84 TMS320C64x to TMS320C64x+ CPU Migration Guide. Describes migrating from the Texas  
Instruments TMS320C64x digital signal processor (DSP) to the TMS320C64x+ DSP. The  
objective of this document is to indicate differences between the two cores. Functionality in  
the devices that is identical is not included.  
SPRU732  
TMS320C64x/C64x+ DSP CPU and Instruction Set Reference Guide. Describes the CPU  
architecture, pipeline, instruction set, and interrupts for the TMS320C64x and TMS320C64x+  
digital signal processors (DSPs) of the TMS320C6000 DSP family. The C64x/C64x+ DSP  
generation comprises fixed-point devices in the C6000 DSP platform. The C64x+ DSP is an  
enhancement of the C64x DSP with added functionality and an expanded instruction set.  
SPRU871  
TMS320C64x+ DSP Megamodule Reference Guide. Describes the TMS320C64x+ digital  
signal processor (DSP) megamodule. Included is a discussion on the internal direct memory  
access (IDMA) controller, the interrupt controller, the power-down controller, memory  
protection, bandwidth management, and the memory and cache.  
68  
Device Overview  
Submit Documentation Feedback  
 
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
3 Device Configurations  
3.1 System Module Registers  
The system module includes status and control registers required for configuration of the device. Brief  
descriptions of the various registers are shown in Table 3-1. System Module registers required for device  
configurations are discussed in the following sections.  
Table 3-1. System Module Register Memory Map  
HEX ADDRESS RANGE  
0x01C4 0000  
REGISTER ACRONYM  
PINMUX0  
DESCRIPTION  
Pin Multiplexing Control 0 (see Section 3.7.2.1, PINMUX0 Register  
Description).  
0x01C4 0004  
PINMUX1  
Pin Multiplexing Control 1 (see Section 3.7.2.2, PINMUX1 Register  
Description).  
0x01C4 0008  
DSPBOOTADDR  
DSP Boot Address (see Section 3.4.2.3, DSPBOOTADDR Register).  
Boot Complete (see Section 3.4.2.2, BOOTCMPLT Register).  
Reserved  
0x01C4 000C  
BOOTCMPLT  
0x01C4 0010  
0x01C4 0014  
BOOTCFG  
Device Boot Configuration (see Section 3.4.2.1, BOOTCFG Register).  
Reserved  
0x01C4 0018 - 0x01C4 0027  
0x01C4 0028  
JTAGID  
JTAG ID (see Section 6.23.1, JTAG ID (JTAGID) Register  
Description(s)).  
0x01C4 002C  
0x01C4 0030  
0x01C4 0034  
0x01C4 0038  
0x01C4 003C  
Reserved  
HPICTL  
HPI Control (see Section 3.6.2.1, HPI Control Register).  
Reserved  
Reserved  
MSTPRI0  
Bus Master Priority Control 0 (see Section 3.6.1, Switch Central  
Resource (SCR) Bus Priorities).  
0x01C4 0040  
MSTPRI1  
Bus Master Priority Control 1 (see Section 3.6.1, Switch Central  
Resource (SCR) Bus Priorities).  
0x01C4 0044  
0x01C4 0048  
VPSS_CLKCTL  
VPSS Clock Control (see Section 3.3.1.2.1, VPSS Clocks).  
VDD3P3V_PWDN  
VDD 3.3-V I/O Powerdown Control (see Section 3.2, Power  
Considerations).  
0x01C4 004C  
DDRVTPER  
DDR2 VTP Enable Register (see Section 6.9.4, DDR2 Memory  
Controller).  
0x01C4 0050 - 0x01C4 0080  
0x01C4 0084  
Reserved  
TIMERCTL  
EDMATCCFG  
Timer Control (see Section 3.6.2.2, Timer Control Register).  
0x01C4 0088  
EDMA Transfer Controller Default Burst Size Configuration (see  
Section 3.6.2.3, EDMA TC Configuration Register).  
0x01C4 008C  
Reserved  
Submit Documentation Feedback  
Device Configurations  
69  
 
 
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
3.2 Power Considerations  
The DM6433 provides several means of managing power consumption.  
As described in the Section 6.3.4, DM6433 Power and Clock Domains, the DM6433 has one single power  
domain—the “Always On” power domain. Within this power domain, the DM6433 utilizes local clock gating  
via the Power and Sleep Controller (PSC) to achieve power savings. For more details on the PSC, see  
Section 6.3.5, Power and Sleep Controller (PSC) and the TMS320DM643x DMP DSP Subsystem  
Reference Guide (literature number SPRU978).  
Some of the DM6433 peripherals support additional power saving features. For more details on power  
saving features supported, see the peripheral-specific reference guides [listed/linked in the  
TMS320DM643x DMP Peripherals Overview Reference Guide (literature number SPRU983).  
Most DM6433 3.3-V I/Os can be powered-down to reduce power consumption. The VDD3P3V_PWDN  
register in the System Module (see Figure 3-1) is used to selectively power down unused 3.3-V I/O pins.  
For independent control, the 3.3-V I/Os are separated into functional groups—most of which are named  
according to the pin multiplexing groups (see Table 3-2). For these I/O groups, only the I/O buffers needed  
for Host/EMIFA Boot or Power-Up Operations are powered up by default (CLKOUT Block, EMIFA/VPSS  
Block, Host Block, PCI Data Block, and GPIO Block).  
Note: To save power, all other I/O buffers are powered down by default. Before using these pins, the user  
must program the VDD3P3V_PWDN register to power up the corresponding I/O buffers.  
For a list of multiplexed pins on the device and the pin mux group each pin belongs to, see  
Section 3.7.3.1, Multiplexed Pins on DM6433.  
Note: The VDD3P3V_PWDN register only controls the power to the I/O buffers. The Power and Sleep  
Controller (PSC) determines the clock/power state of the peripheral.  
31  
15  
16  
RESERVED  
R-0000 0000 0000 0000  
14  
13  
12  
11  
10  
9
8
7
6
5
4
3
2
1
0
RESERVED  
PCIDAT  
EMBK3  
UR0FC  
UR0DAT TIMER1  
TIMER0  
SP  
PWM1  
GPIO  
HOST  
EMBK2  
EMBK1  
EMBK0  
CLKOUT  
R-00  
R/W-0  
R/W-0  
R/W-1  
R/W-1  
R/W-1  
R/W-1  
R/W-1  
R/W-1  
R/W-0  
R/W-0  
R/W-0  
R/W-0  
R/W-0  
R/W-0  
LEGEND: R/W = Read/Write; R = Read only; -n = value after reset  
Figure 3-1. VDD3P3V_PWDN Register— 0x01C4 0048  
70  
Device Configurations  
Submit Documentation Feedback  
 
 
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
Table 3-2. VDD3P3V_PWDN Register Descriptions(1)  
BIT  
NAME  
DESCRIPTION  
Reserved. Read-only, writes have no effect.  
31:14  
RESERVED  
PCI Data Block I/O Power Down Control.  
Controls the power of the 3 I/O pins in the PCI Data Block.  
13  
PCIDAT  
EMBK3  
UR0FC  
UR0DAT  
TIMER1  
TIMER0  
0 = I/O pins powered up [default].  
1 = I/O pins powered down and not operational. Outputs are 3-stated (Hi-Z).  
EMIFA/VPSS Sub-Block 3 I/O Power Down Control.  
Controls the power of the 8 I/O pins in the EMIFA/VPSS Sub-Block 3.  
12  
11  
10  
9
0 = I/O pins powered up [default].  
1 = I/O pins powered down and not operational. Outputs are 3-stated (Hi-Z).  
UART0 Flow Control Block I/O Power Down Control.  
Controls the power of the 2 I/O pins in the UART0 Flow Control Block.  
0 = I/O pins powered up.  
1 = I/O pins powered down and not operational. Outputs are 3-stated (Hi-Z) [default].  
UART0 Data Block I/O Power Down Control.  
Controls the power of the 2 I/O pins in the UART0 Data Block.  
0 = I/O pins powered up.  
1 = I/O pins powered down and not operational. Outputs are 3-stated (Hi-Z) [default].  
Timer1 Block I/O Power Down Control.  
Controls the power of the 2 I/O pins in the Timer1 Block.  
0 = I/O pins powered up.  
1 = I/O pins powered down and not operational. Outputs are 3-stated (Hi-Z) [default].  
Timer0 Block I/O Power Down Control.  
Controls the power of the 2 I/O pins in the Timer0 Block.  
8
0 = I/O pins powered up.  
1 = I/O pins powered down and not operational. Outputs are 3-stated (Hi-Z) [default].  
Serial Port Block I/O Power Down Control.  
Controls the power of the 12 I/O pins in the Serial Port Block (Serial Port Sub-Block 0 and  
Serial Port Sub-Block 1).  
7
SP  
0 = I/O pins powered up.  
1 = I/O pins powered down and not operational. Outputs are 3-stated (Hi-Z) [default].  
PWM1 Block I/O Power Down Control.  
Contros thel power of the 1 I/O pin in the PWM1 Block.  
6
5
4
3
PWM1  
GPIO  
0 = I/O pins powered up.  
1 = I/O pins powered down and not operational. Outputs are 3-stated (Hi-Z) [default].  
GPIO Block I/O Power Down Control.  
Controls the power of the 4 I/O pins in the GPIO Block.  
0 = I/O pins powered up [default].  
1 = I/O pins powered down and not operational. Outputs are 3-stated (Hi-Z).  
Host Block I/O Power Down Control.  
Controls the power of the 27 I/O pins in the Host Block.  
HOST  
EMBK2  
0 = I/O pins powered up [default].  
1 = I/O pins powered down and not operational. Outputs are 3-stated (Hi-Z).  
EMIFA/VPSS Sub-Block 2 I/O Power Down Control.  
Controls the power of the 3 I/O pins in the EMIFA/VPSS Sub-Block 2.  
0 = I/O pins powered up [default].  
1 = I/O pins powered down and not operational. Outputs are 3-stated (Hi-Z).  
(1) For more details on I/O pins belonging to each pin mux block, see Section 3.7, Multiplexed Pin Configurations.  
Submit Documentation Feedback Device Configurations  
71  
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
Table 3-2. VDD3P3V_PWDN Register Descriptions (continued)  
BIT  
NAME  
DESCRIPTION  
EMIFA/VPSS Sub-Block 1 I/O Power Down Control.  
Controls the power of the 29 I/O pins in the EMIFA/VPSS Sub-Block 1.  
2
EMBK1  
0 = I/O pins powered up [default].  
1 = I/O pins powered down and not operational. Outputs are 3-stated (Hi-Z).  
EMIFA/VPSS Sub-Block 0 I/O Power Down Control.  
Controls the power of the 21 I/O pins in the EMIFA/VPSS Sub-Block 0.  
1
0
EMBK0  
0 = I/O pins powered up [default].  
1 = I/O pins powered down and not operational. Outputs are 3-stated (Hi-Z).  
CLKOUT Block I/O Power Down Control.  
Controls the power of the 1 I/O pin in the CLKOUT Block.  
CLKOUT  
0 = I/O pins powered up [default].  
1 = I/O pins powered down and not operational. Outputs are 3-stated (Hi-Z).  
3.3 Clock Considerations  
Global device and local peripheral clocks are controlled by the PLL Controllers (PLLC1 and PLLC2) and  
the Power and Sleep Controller (PSC). In addition, the System Module VPSS_CLKCTL register configures  
the clock source to the Video Processing Subsystem (VPSS).  
3.3.1 Clock Configurations after Device Reset  
After device reset, the user is responsible for programming the PLL Controllers (PLLC1 and PLLC2) and  
the Power and Sleep Controller (PSC) to bring the device up to the desired clock frequency and the  
desired peripheral clock state (clock gating or not).  
For additional power savings, some of the DM6433 peripherals support clock gating within the peripheral  
boundary. For more details on clock gating and power saving features supported by a specific peripheral,  
see the peripheral-specific reference guides [listed/linked in the TMS320DM643x DMP Peripherals  
Overview Reference Guide (literature number SPRU983)].  
3.3.1.1 Device Clock Frequency  
The DM6433 defaults to PLL bypass mode. To bring the device up to the desired clock frequency, the  
user should program PLLC1 and PLLC2 after device reset.  
DM6433 supports a FASTBOOT option, where upon exit from device reset the internal bootloader code  
automatically programs the PLLC1 into PLL mode with a specific PLL multiplier and divider to speed up  
device boot. While the FASTBOOT option is beneficial for faster boot, the PLL multiplier and divider  
selected for boot may not be the exact frequency desired for the run-time application. It is the user's  
responsibility to reconfigure PLLC1 after fastboot to bring the device into the desired clock frequency.  
Section 3.4.1, Boot Modes discusses the different fast boot modes in more detail.  
The user must adhere to the various clock requirements when programming the PLLC1 and PLLC2:  
Fixed frequency ratio requirements between CLKDIV1, CLKDIV3, and CLKDIV6 clock domains. For  
more details on the frequency ratio requirements, see Section 6.3.4, DM6433 Power and Clock  
Domains.  
PLL multiplier and frequency ranges. For more details on PLL multiplier and frequency ranges, see  
Section 6.7.1, PLL1 and PLL2.  
72  
Device Configurations  
Submit Documentation Feedback  
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
3.3.1.2 Module Clock State  
The clock and reset state for each of the modules is controlled by the Power and Sleep Controller (PSC).  
Table 3-3 shows the default state of each module after a device-level global reset. The DM6433 device  
has four different module states—Enable, Disable, SyncReset, or SwRstDisable. For more information on  
the definitions of the module states, the PSC, and PSC programming, see Section 6.3.5, Power and Sleep  
Controller (PSC) and the TMS320DM643x DMP DSP Subsystem Reference Guide (literature number  
SPRU978).  
Table 3-3. DM6433 Default Module States  
DEFAULT MODULE STATE  
[PSC Register MDSTATn.STATE]  
LPSC #  
MODULE NAME  
0
1
VPSS (Master)  
SwRstDisable  
SwRstDisable  
SwRstDisable  
SwRstDisable  
SwRstDisable  
SwRstDisable  
SwRstDisable  
SwRstDisable  
SwRstDisable  
SwRstDisable  
SwRstDisable  
SwRstDisable  
SwRstDisable  
VPSS (Slave)  
EDMACC  
2
3
EDMATC0  
EDMATC1  
EDMATC2  
EMAC Memory Controller  
MDIO  
4
5
6
7
8
EMAC  
9
McASP0  
11  
12  
13  
VLYNQ  
HPI  
DDR2 Memory Contoller  
SwRstDisable, if configuration pins AEM[2:0] = 000b  
14  
EMIFA  
Enable, if configuration pins AEM[2:0] = Others [001b, 011b, 100b, and 101b]  
15  
16  
18  
19  
23  
24  
25  
26  
27  
28  
39  
PCI  
SwRstDisable  
SwRstDisable  
SwRstDisable  
SwRstDisable  
SwRstDisable  
SwRstDisable  
SwRstDisable  
SwRstDisable  
SwRstDisable  
SwRstDisable  
Enable  
McBSP0  
I2C  
UART0  
PWM0  
PWM1  
PWM2  
GPIO  
TIMER0  
TIMER1  
C64x+ CPU  
Submit Documentation Feedback  
Device Configurations  
73  
 
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
3.3.1.2.1 VPSS Clocks  
The Video Processing SubSystem (VPSS) clocks are controlled via the VPSS_CLKCTL register. The  
VPSS_CLKCTL register format is shown in Figure 3-2 and the bit field descriptions are given in Table 3-4.  
31  
15  
16  
RESERVED  
R-0000 0000 0000 0000  
5
4
3
2
1
0
DAC  
CLKEN CLKEN  
VEN  
RESERVED  
RSV  
MUXSEL  
R-0000 0000 000  
R/W-0 R/W-0 R/W-0  
R/W-00  
LEGEND: R = Read; W = Write; -n = value after reset  
Figure 3-2. VPSS_CLKCTL Register— 0x01C4 0044  
Table 3-4. VPSS_CLKCTL Register Bit Description  
BIT  
NAME  
DESCRIPTION  
31:5  
RESERVED  
Reserved. Read-only, writes have no effect.  
Video DAC clock enable.  
0 = DAC clock disabled [default].  
1 = DAC clock enabled.  
4
DACCLKEN  
Video Encoder clock enable.  
0 = VENC clock disabled [default].  
1 = VENC clock enabled.  
3
2
VENCLKEN  
RSV  
Reserved. For proper device operation, the user must write 0 to this bit.  
VPBE (Video Encoder and DAC) clock selection  
SETTING  
VENC CLK  
27 MHz(a)  
DAC CLK  
27 MHz(a)  
00 [default]  
01  
10  
11  
54 MHz(b)  
54 MHz(b)  
1:0  
MUXSEL(1)(2)  
VPBECLK Input  
Reserved  
VPBECLK Input  
Reserved  
(a) The 27-MHz clock comes from PLLC1 SYSCLKBP.  
(b) The 54-MHz clock comes from PLLC2 PLL2_SYSCLK2.  
(1) MUXSEL = 00 selects PLLC1 SYSCLKBP as the clock source to the VPBE. The PLLC1 SYSCLKBP is a 27-MHz clock if the following  
settings are true:  
a. MXI/CLKIN clock source is 27 MHz.  
b. PLLC1 Bypass Divider Register (BPDIV) is left at the default setting of divide-by-1.  
(2) MUXSEL = 01 selects PLLC2 PLL2_SYSCLK2 as the clock source to the VPBE. The PLLC2 PLL2_SYSCLK2 is a 54-MHz clock if the  
following settings are true:  
a. MXI/CLKIN clock source is 27 MHz.  
b. PLLC2 is in PLL Mode with multiplier x20 to generate a PLL output clock of 27 MHz x 20 = 540 MHz.  
c. PLLDIV2.RATIO is left at the default setting of divide-by-10 to generate SYSCLK2 = 54 MHz.  
For more details on the different methods and software sequence to clock (gate) the VPBE components,  
see the TMS320DM643x DMP Video Processing Back End (VPBE) User’s Guide (literature number  
SPRU952).  
74  
Device Configurations  
Submit Documentation Feedback  
 
 
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
3.4 Boot Sequence  
The boot sequence is a process by which the device's memory is loaded with program and data sections,  
and by which some of the device's internal registers are programmed with predetermined values. The boot  
sequence is started automatically after each device-level global reset. For more details on device-level  
global resets, see Section 6.5, Reset.  
There are several methods by which the memory and register initialization can take place. Each of these  
methods is referred to as a boot mode. The boot mode to be used is selected at reset. For more  
information on the bootmode selections, see Section 3.4.1, Boot Modes.  
The device is booted through multiple means—primary bootloaders within internal ROM or EMIFA, and  
secondary user bootloaders from peripherals or external memories. Boot modes, pin configurations, and  
register configurations required for booting the device, are described in the following subsections.  
3.4.1 Boot Modes  
The DM6433 boot modes are determined by these device boot and configuration pins. For information on  
how these pins are sampled at device reset, see Section 6.5.1.2, Latching Boot and Configuration Pins.  
BOOTMODE[3:0]  
PCIEN  
FASTBOOT  
AEM[2:0]  
PLLMS[2:0]  
Note: The PLLMS[2:0] configuration pins are actually multiplexed with the AEAW[2:0] configuration pins.  
For more details on the multiplexed AEAW[2:0]/PLLMS[2:0] configuration pins and control, see  
Section 3.5.1.2, EMIFA Address Width Selects (AEAW[2:0]) and FASTBOOT PLL Multiplier Selects  
(PLLMS[2:0]).  
BOOTMODE[3:0] and PCIEN determine the type of boot (e.g., I2C Boot, EMIFA Boot, HPI Boot, or PCI  
Boot, etc.). FASTBOOT determines if the PLL is enabled during boot to speed up the boot process.  
The combination of AEM[2:0] and PLLMS[2:0] is used by bootloader code to determine the PLL multiplier  
used during fastboot modes (FASTBOOT = 1).  
The DM6433 boot modes are grouped into three categories—Non-Fastboot Modes, Fixed-Multiplier  
Fastboot Modes, and User-Select Multiplier Fastboot Modes.  
Non-Fastboot Modes (FASTBOOT = 0): The device operates in default PLL bypass mode during  
boot. The Non-Fastboot bootmodes available on the DM6433 are shown in Table 3-5.  
Fixed-Multiplier Fastboot Modes (FASTBOOT = 1, AEM[2:0] = 001b): The bootloader code speeds  
up the device during boot according to the fixed PLL multipliers. The Fixed-Multiplier Fastboot  
bootmodes available on the DM6433 are shown in Table 3-6.  
Note: The PLLMS[2:0] configurations have no effect on the Fixed-Multiplier Fastboot Modes, as these  
pins function as AEAW[2:0] to select the EMIFA address width when AEM[2:0] = 001b.  
User-Select Multiplier Fastboot Modes (FASTBOOT = 1, AEM[2:0] = 000b,011b,100b,101b): The  
bootloader code speeds up the device during boot. The PLL multiplier is selected by the user via the  
PLLMS[2:0] pins. The User-Select Multiplier Fastboot bootmodes available on the DM6433 are shown  
in Table 3-7.  
All other modes not shown in these tables are reserved and invalid settings.  
Submit Documentation Feedback  
Device Configurations  
75  
 
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
Table 3-5. Non-Fastboot Modes (FASTBOOT = 0)  
DEVICE BOOT AND  
CONFIGURATION PINS  
PLLC1 CLOCK SETTING AT BOOT  
DM6433 DMP  
(Master/Slave)  
DSPBOOTADDR  
(DEFAULT)(1)  
BOOT DESCRIPTION(1)  
DEVICE  
FREQUENCY  
(SYSCLK1)  
PLL  
CLKDIV1 DOMAIN  
(SYSCLK1 DIVIDER)  
BOOTMODE[3:0]  
PCIEN  
MODE(2)  
0000  
0001  
0 or 1  
0 or 1  
0
No Boot (Emulation Boot)  
Reserved  
Master  
Bypass  
/1  
CLKIN  
0x0010 0000  
Slave  
HPI Boot  
Bypass  
/1  
CLKIN  
0x0010 0000  
0010  
1
Reserved  
0011  
0100  
0 or 1  
Reserved  
EMIFA ROM Direct Boot  
[PLL Bypass Mode]  
0 or 1  
0 or 1  
Master  
Master  
Bypass  
Bypass  
/1  
/1  
CLKIN  
CLKIN  
0x4200 000  
I2C Boot  
0101  
0x0010 0000  
[STANDARD MODE](3)  
16-bit SPI Boot  
[McBSP0]  
0110  
0111  
0 or 1  
0 or 1  
Master  
Master  
Bypass  
Bypass  
/1  
/1  
CLKIN  
CLKIN  
0x0010 0000  
0x0010 0000  
NAND Flash Boot  
UART Boot without  
Hardware Flow Control  
[UART0]  
1000  
0 or 1  
Master  
Bypass  
/1  
CLKIN  
0x0010 0000  
1001  
1010  
1011  
1100  
1101  
0 or 1  
0 or 1  
0 or 1  
0 or 1  
0 or 1  
Reserved  
VLYNQ Boot  
Reserved  
Reserved  
Reserved  
/1  
Slave  
Bypass  
CLKIN  
0x0010 0000  
UART Boot with  
Hardware Flow Control  
[UART0]  
1110  
1111  
0 or 1  
0 or 1  
Master  
Master  
Bypass  
Bypass  
/1  
/1  
CLKIN  
CLKIN  
0x0010 0000  
0x0010 0000  
24-bit SPI Boot  
(McBSP0 + GP[97])  
(1) For all boot modes that default to DSPBOOTADDR = 0x0010 0000 (i.e., all boot modes except the EMIFA ROM Direct Boot,  
BOOTMODE[3:0] = 0100, FASTBOOT = 0), the bootloader code disables all C64x+ cache (L2, L1P, and L1D) so that upon exit from the  
bootloader code, all C64x+ memories are configured as all RAM. If cache use is required, the application code must explicitly enable the  
cache. For more information on the bootloader, see the Using the TMS320DM643x Bootloader Application Report (literature number  
SPRAAG0).  
(2) The PLL MODE for Non-Fastboot Modes is fixed as shown in this table; therefore, the PLLMS[2:0] configuration pins have no effect on  
the PLL MODE.  
(3) I2C Boot (BOOTMODE[3:0] = 0101b) is only available if the MXI/CLKIN frequency is between 21 MHz to 30 MHz. I2C Boot is not  
available for MXI/CLKIN frequencies less than 21 MHz.  
76  
Device Configurations  
Submit Documentation Feedback  
 
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
Table 3-6. Fixed-Multiplier Fastboot Modes (FASTBOOT = 1, AEM[2:0] = 001b)  
DEVICE BOOT AND  
CONFIGURATION PINS  
PLLC1 CLOCK SETTING AT BOOT  
DM6433 DMP  
(Master/Slave)  
DSPBOOTADDR  
(DEFAULT)(1)  
BOOT DESCRIPTION(1)  
DEVICE  
FREQUENCY  
(SYSCLK1)  
PLL  
CLKDIV1 DOMAIN  
(SYSCLK1 DIVIDER)  
BOOTMODE[3:0]  
PCIEN  
MODE(2)  
0000  
0 or 1  
No Boot (Emulation Boot)  
Master  
Slave  
Bypass  
/1  
/2  
CLKIN  
0x0010 0000  
HPI Boot with PLL  
Multiplier x27 at boot  
0
1
0
1
0
1
x27  
CLKIN x27 / 2  
0x0010 0000  
0001  
0010  
0011  
Reserved  
HPI Boot with PLL  
Multiplier x20 at boot  
Slave  
x20  
/2  
CLKIN x20 / 2  
0x0010 0000  
Reserved  
HPI Boot with PLL  
Multiplier x15 at boot  
Slave  
x15  
/2  
CLKIN x15 / 2  
0x0010 0000  
Reserved  
EMIFA ROM FASTBOOT  
with Application Image  
Script (AIS)  
0100  
0101  
0 or 1  
0 or 1  
Master  
Master  
x20  
x20  
/2  
/2  
CLKIN x20 / 2  
CLKIN x20 / 2  
0x0010 000  
I2C Boot  
0x0010 0000  
[FAST MODE](3)  
16-bit SPI Boot  
[McBSP0]  
0110  
0111  
0 or 1  
0 or 1  
Master  
Master  
x20  
x20  
/2  
/2  
CLKIN x20 / 2  
CLKIN x20 / 2  
0x0010 0000  
0x0010 0000  
NAND Flash Boot  
UART Boot without  
Hardware Flow Control  
[UART0]  
1000  
1001  
0 or 1  
0 or 1  
Master  
Master  
x20  
x20  
/2  
/2  
CLKIN x20 / 2  
CLKIN x20 / 2  
0x0010 0000  
0x0010 0000  
EMIFA ROM FASTBOOT  
without AIS  
1010  
1011  
1100  
1101  
0 or 1  
0 or 1  
0 or 1  
0 or 1  
VLYNQ Boot  
Reserved  
Reserved  
Reserved  
Slave  
x20  
/2  
CLKIN x20 / 2  
0x0010 0000  
UART Boot with  
Hardware Flow Control  
[UART0]  
1110  
1111  
0 or 1  
0 or 1  
Master  
Master  
x20  
x20  
/2  
/2  
CLKIN x20 / 2  
CLKIN x20 / 2  
0x0010 0000  
0x0010 0000  
24-bit SPI Boot  
(McBSP0 + GP[97])  
(1) For all boot modes that default to DSPBOOTADDR = 0x0010 0000, the bootloader code disables all C64x+ cache (L2, L1P, and L1D)  
so that upon exit from the bootloader code, all C64x+ memories are configured as all RAM. If cache use is required, the application  
code must explicitly enable the cache. For more information on the bootloader, see the Using the TMS320DM643x Bootloader  
Application Report (literature number SPRAAG0).  
(2) The PLL MODE for Fixed-Multiplier Fastboot Modes is fixed as shown in this table; therefore, the PLLMS[2:0] configuration pins have no  
effect on the PLL MODE.  
(3) I2C Boot (BOOTMODE[3:0] = 0101b) is only available if the MXI/CLKIN frequency is between 21 MHz to 30 MHz. I2C Boot is not  
available for MXI/CLKIN frequencies less than 21 MHz.  
Submit Documentation Feedback  
Device Configurations  
77  
 
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
Table 3-7. User-Select Multiplier Fastboot Modes (FASTBOOT = 1, AEM[2:0] = 000b, 011b, 100b, or 101b)  
DEVICE BOOT AND  
PLLC1 CLOCK SETTING AT BOOT  
CONFIGURATION PINS  
DM6433 DMP  
(Master/Slave)  
DSPBOOTADDR  
(DEFAULT)(1)  
BOOT DESCRIPTION(1)  
DEVICE  
FREQUENCY  
(SYSCLK1)  
PLL  
CLKDIV1 DOMAIN  
(SYSCLK1 DIVIDER)  
BOOTMODE[3:0]  
PCIEN  
MODE(2)  
0000  
0 or 1  
0
No Boot (Emulation Boot)  
Reserved  
Master  
Bypass  
/1  
CLKIN  
0x0010 0000  
0001  
0010  
PCI Boot without Auto  
Initialization  
1
0
Slave  
Slave  
Slave  
Table 3-8  
Table 3-8  
Table 3-8  
/2  
/2  
/2  
Table 3-8  
Table 3-8  
Table 3-8  
0x0010 0000  
0x0010 0000  
0x0010 0000  
HPI Boot  
PCI Boot with Auto  
Initialization  
1
0011  
0100  
0 or 1  
0 or 1  
Reserved  
EMIFA ROM FASTBOOT  
with AIS  
Master  
Table 3-8  
/2  
Table 3-8  
0x0010 0000  
I2C Boot  
0101  
0 or 1  
Master  
Table 3-8  
/2  
Table 3-8  
0x0010 0000  
[FAST MODE](3)  
16-bit SPI Boot  
[McBSP0]  
0110  
0111  
0 or 1  
0 or 1  
Master  
Master  
Table 3-8  
Table 3-8  
/2  
/2  
Table 3-8  
Table 3-8  
0x0010 0000  
0x0010 0000  
NAND Flash Boot  
UART Boot without  
Hardware Flow Control  
[UART0]  
1000  
1001  
0 or 1  
0 or 1  
Master  
Master  
Table 3-8  
Table 3-8  
/2  
/2  
Table 3-8  
Table 3-8  
0x0010 0000  
EMIFA ROM FASTBOOT  
without AIS  
1010  
1011  
1100  
1101  
0 or 1  
0 or 1  
0 or 1  
0 or 1  
VLYNQ Boot  
Reserved  
Reserved  
Reserved  
Slave  
x20  
/2  
CLKIN x20 / 2  
0x0010 0000  
UART Boot with  
Hardware Flow Control  
[UART0]  
1110  
1111  
0 or 1  
0 or 1  
Master  
Master  
Table 3-8  
x20  
/2  
/2  
Table 3-8  
0x0010 0000  
0x0010 0000  
24-bit SPI Boot  
(McBSP0 + GP[97])  
CLKIN x20 / 2  
(1) For all boot modes that default to DSPBOOTADDR = 0x0010 0000, the bootloader code disables all C64x+ cache (L2, L1P, and L1D)  
so that upon exit from the bootloader code, all C64x+ memories are configured as all RAM. If cache use is required, the application  
code must explicitly enable the cache. For more information on the bootloader, see the Using the TMS320DM643x Bootloader  
Application Report (literature number SPRAAG0).  
(2) Any supported PLL MODE is available. [See Table 3-8 for supported DM6433 PLL MODE options].  
(3) I2C Boot (BOOTMODE[3:0] = 0101b) is only available if the MXI/CLKIN frequency is between 21 MHz to 30 MHz. I2C Boot is not  
available for MXI/CLKIN frequencies less than 21 MHz.  
Table 3-8. PLL Multiplier Selection (PLLMS[2:0]) in User-Select Multiplier Fastboot Modes  
(FASTBOOT = 1; AEM[2:0] = 000b, 011b, 100b, or 101b)  
DEVICE BOOT AND  
PLLC1 CLOCK SETTING AT BOOT  
CONFIGURATION PINS  
CLKDIV1 DOMAIN  
(SYSCLK1 DIVIDER)  
PLLMS[2:0]  
PLL MODE  
DEVICE FREQUENCY (SYSCLK1)  
000  
001  
010  
011  
100  
101  
110  
111  
x20  
x15  
x16  
x18  
x22  
x25  
x27  
x30  
/2  
/2  
/2  
/2  
/2  
/2  
/2  
/2  
CLKIN x20 / 2  
CLKIN x15 / 2  
CLKIN x16 / 2  
CLKIN x18 / 2  
CLKIN x22 / 2  
CLKIN x25 / 2  
CLKIN x27 / 2  
CLKIN x30 / 2  
78  
Device Configurations  
Submit Documentation Feedback  
 
 
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
As shown in Table 3-5, Table 3-6, and Table 3-7, at device reset the Boot Controller defaults the  
DSPBOOTADDR to one of two values based on the boot mode selected. In all boot modes, the C64x+ is  
immediately released from reset and begins executing from address location indicated in  
DSPBOOTADDR.  
Internal Bootloader ROM (0x0010 0000): For most boot modes, the DSPBOOTADDR defaults to the  
internal Bootloader ROM so that the DSP can immediately execute the bootloader code in the internal  
ROM. The bootloader code decodes the captured BOOTMODE, FASTBOOT, PCIEN, default AEM  
(DAEM), and PLLMS information (in the BOOTCFG register) to determine the proper boot operation.  
Note: For all boot modes that default to DSPBOOTADDR = 0x0010 0000, the bootloader code  
disables all C64x+ cache (L2, L1P, and L1D) so that upon exit from the bootloader code, all C64x+  
memories are configured as all RAM. If cache use is required, the application code must explicitly  
enable the cache. For more information on boot modes, see Section 3.4.1, Boot Modes. For more  
information on the bootloader, see the Using the TMS320DM643x Bootloader Application Report  
(literature number SPRAAG0).  
EMIFA Chip Select Space 2 (0x4200 0000): The EMIFA ROM Direct Boot in PLL Bypass Mode  
(BOOTCFG settings BOOTMODE[3:0] = 0100b, FASTBOOT = 0) is the only exception where the  
DSPBOOTADDR defaults to the EMIFA Chip Select Space 2. The DSP begins execution directly from  
the external ROM at this EMIFA space.  
For more information how the bootloader code handles each boot mode, see Using the TMS320DM643x  
Bootloader Application Report (literature number SPRAAG0).  
3.4.1.1 FASTBOOT  
When DM6433 exits pin reset (RESET or POR released), the PLL Controllers (PLLC1 and PLLC2) default  
to PLL Bypass Mode. This means the PLLs are disabled, and the MXI/CLKIN clock input is driving the  
chip. All the clock domain divider ratios discussed in Section 6.3.4, DM6433 Power and Clock Domains,  
still apply. For example, assume an MXI/CLKIN frequency of 27 MHz—meaning the internal clock source  
for EMIFA is at CLKDIV3 domain = 27 MHz/3 = 9 MHz, a very slow clock. In addition, the EMIFA registers  
are reset to the slowest configuration which translates to very slow peripheral operation/boot.  
To optimize boot time, the user should reprogram clock settings via the PLLC as early as possible during  
the boot process. The FASTBOOT pin facilitates this operation by allowing the device to boot at a faster  
clock rate.  
Except for the EMIFA ROM Direct Boot in PLL Bypass Mode (BOOTCFG settings BOOTMODE[3:0] =  
0100b, FASTBOOT = 0), all other boot modes default to executing from the Internal Bootloader ROM. The  
first action that the bootloader code takes is to decode the boot mode. If the FASTBOOT option is  
selected (BOOTCFG.FASTBOOT = 1), the bootloader software begins by programming the PLLC1  
(System PLLC) to PLL Mode to give the device a slightly faster operation before fetching code from  
external devices. The exact PLL multiplier that the bootloader uses is determined by the AEM[2:0] and  
PLLMS[2:0] settings, as shown in Table 3-6 and Table 3-7.  
Some boot modes must be accompanied with FASTBOOT = 1 so that the corresponding peripheral can  
run at a reasonable rate to communicate to the external device(s). This includes PCI boot.  
Note: PLLC2 still stays in PLL Bypass Mode, the bootloader does not reconfigure it.  
Submit Documentation Feedback  
Device Configurations  
79  
 
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
3.4.1.2 Selecting FASTBOOT PLL Multiplier  
Table 3-6, Table 3-7, and Table 3-8 show the PLL multipliers used by the bootloader code during fastboot  
(FASTBOOT = 1) and the resulting device frequency. The user is responsible for selecting the bootmode  
with the appropriate PLL multiplier for their MXI/CLKIN clock source so that the device speed and PLL  
frequency range requirements are met. For the PLLC1 Clock Frequency Ranges, see Table 6-15, PLLC1  
Clock Frequency Ranges in Section 6.7.1, PLL1 and PLL2.  
The following are guidelines for PLL output frequency and device speed (frequency):  
PLL Output Frequency: (PLLOUT = CLKIN frequency * boot PLL Multiplier) must stay within the  
PLLOUT frequency range in Table 6-15, PLLC1 Clock Frequency Ranges.  
Device Frequency: (SYSCLK1) calculated from Table 3-6 and Table 3-7 must not exceed the  
SYSCLK1 maximum frequency in Table 6-15, PLLC1 Clock Frequency Ranges.  
For example, for a 600-MHz device with a CLKIN = 27 MHz, in order to stay within the PLLOUT  
frequency range and SYSCLK1 maximum frequency from Table 6-15, PLLC1 Clock Frequency  
Ranges, the user must select a boot mode with a PLL1 multiplier between x15 and x22.  
3.4.1.3 EMIFA Boot Modes  
As shown in Table 3-5, Table 3-6, and Table 3-7, there are different types of EMIFA Boot Modes. This  
subsection summarizes these types of EMIFA boot modes. For further detailed information, see the Using  
the TMS320DM643x Bootloader Application Report (literature number SPRAAG0).  
EMIFA ROM Direct Boot in PLL Bypass Mode (FASTBOOT = 0, BOOTMODE[3:0] = 0100b)  
The C64x+ fetches the code directly from EMIFA Chip Select 2 Space [EM_CS2] (address  
0x42000000)  
The PLL is in Bypass Mode  
EMIFA is configured as Asynchronous EMIF. The user is responsible for ensuring the desirable  
Asynchronous EMIF pins are available through configuration pins AEM[2:0] and AEAW[2:0].  
AEM[2:0] must be configured to 001b [8-bit EMIFA (Async) Pinout Mode 1] or 011b [8-bit EMIFA  
(Async) Pinout Mode 3]. If AEM[2:0] = 001b, AEAW[2:0] must be configured to 100b.  
EMIFA ROM Fastboot with AIS (FASTBOOT = 1, BOOTMODE[3:0] = 0100b)  
The C64x+ begins execution from the internal bootloader ROM at address 0x00100000.  
The bootloader code programs PLLC1 to PLL Mode to speed up the boot process. The PLL  
multiplier value is determined by the AEM[2:0] and PLLMS[2:0] configurations as shown in  
Table 3-6 and Table 3-7.  
The bootloader code reads code from the EMIFA EM_CS2 space using the application image script  
(AIS) format.  
EMIFA is configured as Asynchronous EMIF. The user is responsible for ensuring the desirable  
Asynchronous EMIF pins are available through configuration pins AEM[2:0] and AEAW[2:0].  
AEM[2:0] must be configured to 001b [8-bit EMIFA (Async) Pinout Mode 1] or 011b [8-bit EMIFA  
(Async) Pinout Mode 3]. If AEM[2:0] = 001b, AEAW[2:0] must be configured to 100b.  
EMIFA ROM Fastboot without AIS: (FASTBOOT = 1, BOOTMODE[3:0] = 1001b)  
The C64x+ begins execution from the internal bootloader ROM at address 0x00100000.  
The bootloader code programs PLLC1 to PLL Mode to speed up the boot process. The PLL  
multiplier value is determined by the AEM[2:0] and PLLMS[2:0] configurations as shown in  
Table 3-6 and Table 3-7.  
The bootloader code then jumps to the EMIFA EM_CS2 space, at which point the C64x+ fetches  
the code directly from address 0x42000000.  
EMIFA is configured as Asynchronous EMIF. The user is responsible for ensuring the desirable  
Asynchronous EMIF pins are available through configuration pins AEM[2:0] and AEAW[2:0].  
AEM[2:0] must be configured to 001b [8-bit EMIFA (Async) Pinout Mode 1] or 011b [8-bit EMIFA  
(Async) Pinout Mode 3]. If AEM[2:0] = 001b, AEAW[2:0] must be configured to 100b.  
NAND Flash Boot: (FASTBOOT = 0 or 1, BOOTMODE[3:0] = 0111b)  
80  
Device Configurations  
Submit Documentation Feedback  
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
The C64x+ begins execution from the internal bootloader ROM at address 0x00100000.  
Depending on the FASTBOOT, AEM[2:0], and PLLMS[2:0] settings, the bootloader code may  
program the PLLC1 to PLL Mode to speed up the boot process. See Table 3-5, Table 3-6, and  
Table 3-7.  
The bootloader code reads the code from EMIFA (NAND) EM_CS2 (address 0x42000000) using  
AIS format.  
EMIFA is configured in NAND mode. The user is responsible for ensuring the desirable  
Asynchronous EMIF pins are available through configuration pins AEM[2:0] and AEAW[2:0].  
AEM[2:0] can be configured to 001b [8-bit EMIFA (Async) Pinout Mode 1], 011b [8-bit EMIFA  
(Async) Pinout Mode 3], 100b [8-bit EMIFA (NAND) Pinout Mode 4], or 101b [8-bit EMIFA (NAND)  
Pinout Mode 5]. If AEM[2:0] = 001b, AEAW[2:0] must be configured to 100b.  
3.4.1.4 Serial Boot Modes (I2C, UART[UART0], SPI[McBSP0])  
This subsection discusses how the bootloader configures the clock dividers for the serial boot modes—I2C  
boot, UART boot, and SPI boot.  
3.4.1.4.1 I2C Boot  
If FASTBOOT = 0, then I2C Boot (BOOTMODE = 0101) is performed in Standard-Mode (up-to 100 kbps).  
If FASTBOOT = 1, then I2C Boot is performed in Fast-Mode (up-to 400 kbps). The actual I2C data  
transfer rate is dependent on the MXI/CLKIN frequency.  
This is how the bootloader programs the I2C:  
I2C Boot in Fast-Mode (BOOTMODE[3:0] = 0101b, FASTBOOT = 1)  
I2C register settings: ICPSC.IPSC = 210, ICCLKL.ICCL = 810, ICCKH.ICCH = 810  
Resulting in the following I2C prescaled module clock frequency (internal I2C clock):  
(CLKIN frequency in MHz) / 3  
Resulting in the following I2C serial clock (SCL):  
SCL frequency (in kHz) = (CLKIN frequency in MHz) / 78 * 1000  
SCL low pulse duration (in µs) = 39 / (CLKIN frequency in MHz)  
SCL high pulse duration (in µs) = 39 / (CLKIN frequency in MHz)  
I2C Boot in Standard-Mode (BOOTMODE[3:0] = 0101b, FASTBOOT = 0)  
I2C register settings: ICPSC.IPSC = 210, ICCLKL.ICCL = 4510, ICCKH.ICCH = 4510  
Resulting in the following I2C prescaled module clock frequency (internal I2C clock):  
(CLKIN frequency in MHz) / 3  
Resulting in the following I2C serial clock (SCL):  
SCL frequency (in kHz) = (CLKIN frequency in MHz) / 300 * 1000  
SCL low pulse duration (in µs) = 150 / (CLKIN frequency in MHz)  
SCL high pulse duration (in µs) = 150 / (CLKIN frequency in MHz)  
Note: The I2C peripheral requires that the prescaled module clock frequency must be between 7 MHz  
and 12 MHz. Therefore, the I2C boot is only available for MXI/CLKIN frequency between 21 MHz and  
30 MHz.  
For more details on the I2C periperhal configurations and clock requirements, see the TMS320DM643x  
DMP Inter-Integrated Circuit (I2C) Peripheral User’s Guide (literature number SPRU991).  
Submit Documentation Feedback  
Device Configurations  
81  
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
3.4.1.4.2 UART Boot  
For UART Boot (BOOTMODE[3:0] = 1000b or 1110b), the bootloader programs the UART0 peripheral as  
follows:  
UART0 divisor is set to 1510  
Resulting in this UART0 baud rate in kilobit per second (kbps):  
(CLKIN frequency in MHz) * 1000 / (15 * 16)  
The user is responsible for ensuring the resulting baud rate is appropriate for the system. The UART0  
divisor (/15) is optimized for CLKIN frequency between 27 to 29 MHz to stay within 5% of the 115200-bps  
baud rate.  
For more details on the UART peripheral configurations and clock generation, see the TMS320DM643x  
DMP Universal Asynchronous Receiver/Transmitter (UART) User's Guide (literature number SPRU997).  
3.4.1.4.3 SPI Boot  
Both 16-bit address SPI Boot (BOOTMODE = 0110) and 24-bit address SPI boot are performed through  
the McBSP0 peripheral. The bootloader programs the McBSP0 peripheral as follows:  
McBSP0 register settings: SRGR.CLKGDV = 210  
Resulting in this SPI serial clock frequency:  
(SYSCLK3 frequency in MHz) / 3  
SYSCLK3 frequency = SYSCLK1 frequency / 6. SYSCLK1 frequency during boot can be found in  
Table 3-5, Table 3-6, Table 3-7, and/or Table 3-8 based on the boot mode selection.  
For example, if BOOTMODE[3:0] = 0110b, FASTBOOT = 1, the MXI/CLKIN frequency = 27 MHz,  
AEM[2:0] = 000b, PLLMS[2:0] = 100b, the combination of Table 3-7 and Table 3-8 indicates that the  
device frequency (SYSCLK1) is CLKIN x 22 / 2 = 297 MHz. This means SYSCLK3 frequency is  
297 / 6 = 49.5 MHz, resulting in SPI serial clock frequency of 49.5 / 3 = 16.5 MHz.  
3.4.1.5 Host Boot Modes  
The DM6433 supports two types of host boots—PCI Boot or HPI Boot.  
The PCI Boot (BOOTMODE[3:0] = 0001b or 0010b, PCIEN = 1) is only available in fastboot  
(FASTBOOT = 1), as shown in Table 3-6 and Table 3-7.  
The HPI Boot is available in fastboot and non-fastboot, as shown in Table 3-5, Table 3-6, and Table 3-7.  
Note: The HPI HSTROBE inactive pulse duration timing requirement [tw(HSTBH)] is dependent on the HPI  
internal clock source (SYSCLK3) frequency (see Section 6.13.3, HPI Electrical Data/Timing). The external  
host must be aware of the SYSCLK3 frequency during boot to ensure the HSTROBE pulse duration  
timing requirement is met.  
82  
Device Configurations  
Submit Documentation Feedback  
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
3.4.2 Bootmode Registers  
3.4.2.1 BOOTCFG Register  
The Device Bootmode (see Section 3.4.1, Boot Modes) and Configuration pins (see Section 3.5.1, Device  
and Peripheral Configurations at Device Reset) latched at reset are captured in the Device Boot  
Configuration (BOOTCFG) register which is accessible through the System Module. This is a read-only  
register. The bits show the values latched from the corresponding configuration pins sampled at device  
reset. For more information on how these pins are sampled at device reset, see Section 6.5.1.2, Latching  
Boot and Configuration Pins. For the corresponding device boot and configuration pins, see Table 2-5,  
BOOT Terminal Functions.  
31  
20  
19  
18  
17  
16  
RESERVED  
FASTBOOT  
RSV  
DPCIEN  
RSV  
R-0000 0000 0001  
R-L  
3
R-0  
R-L  
R-0  
0
15  
14  
13  
12  
11  
10  
9
8
7
6
5
4
2
1
RSV  
PLLMS  
RSV  
DAEM  
RESERVED  
BOOTMODE  
R-LLLL  
R-0  
R-LLL  
R-0  
R-LLL  
R-0000  
LEGEND: R = Read only; L = pin state latched at reset rising edge; -n = value after reset  
Figure 3-3. BOOTCFG Register—0x01C4 0014  
Submit Documentation Feedback  
Device Configurations  
83  
 
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
Table 3-9. BOOTCFG Register Description  
Bit  
Field Name  
Description  
31:20  
RESERVED  
Reserved. Writes have no effect.  
Fastboot (see Section 3.4.1.1, FASTBOOT)  
This field is used by the device bootloader code to determine if it needs to speed up the device to PLL mode  
before booting.  
19  
18  
FASTBOOT  
RSV  
0 = No Fastboot  
1 = Fastboot  
The default value is latched from FASTBOOT configuration pin.  
Reserved. Writes have no effect.  
PINMUX1.PCIEN Default (see Section 3.5.1.3, PCI Enable)  
For more details on the PCIEN settings, see Section 3.7.2.2, PINMUX1 Register Description.  
This field affects the pin mux control by setting the default of PINMUX1.PCIEN. This field determines if the  
internal pullup/pulldown resistors on the PCI capable pins are enabled/disabled. This field does not affect PCI  
register setting.  
17  
DPCIEN  
RSV  
The user must keep the value on the PCIEN pin constant throughout the operation.  
The default value is from the PCIEN configuration pin.  
16:15  
Reserved. Writes have no effect.  
PINMUX0.AEAW default [AEAW] and Fastboot PLL Multiplier Select [PLLMS] (see Section 3.5.1.2, EMIFA  
Address Width Select [AEAW] and Fast Boot PLL Multiplier Select [PLLMS])  
The AEAW[2:0]/PLLMS configuration pins serve two purposes:  
AEAW[2:0]: 8-bit EMIFA (Async) Pinout Mode 1 Address Width  
If AEM = 001, this field serves as AEAW and it indicates the 8-bit EMIFA (Async) Pinout Mode 1 Address  
Width. In this case, this field affects pin mux control only by setting the default of Pin Mux Control Register  
PINMUX0.AEAW[2:0]. This field does not affect EMIFA register settings.  
14:12  
PLLMS  
For more details on the AEAW settings, see Section 3.7.2.1, PINMUX0 Register Description.  
PLLMS: Fastboot PLL Multiplier Select  
If FASTBOOT = 1 and AEM[2:0] = 000b, 011b, 100b, or 101b, this field selects the FASTBOOT PLL Multiplier.  
In this case, this field does not affect the pin mux control or the EMIFA register settings. The bootloader code  
uses this field to determine the PLL multiplier used for Fastboot.  
11  
RSV  
Reserved. Writes have no effect.  
PINMUX0.AEM default [DAEM] (see Section 3.5.1.1, EMIFA Pinout Mode (AEM[2:0]))  
For more details on the AEM settings, see Section 3.7.2.1, PINMUX0 Register Description.  
10:8  
DAEM  
This field affects pin mux control by setting the default of PINMUX0.AEM. This field does not affect EMIFA  
Register settings.  
The default value is latched from the AEM[2:0] configuration pins.  
Reserved. Writes have no effect.  
7:4  
3:0  
RESERVED  
BOOTMODE  
Boot Mode (see Section 3.4.1, Boot Modes)  
This field is used in conjunction with FASTBOOT, PCIEN, AEM, and PLLMS to determine the device boot  
mode.  
The default value is latched from the BOOTMODE[3:0] configuration pins.  
84  
Device Configurations  
Submit Documentation Feedback  
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
3.4.2.2 BOOTCMPLT Register  
If the bootloader code detects an error during boot, it records the error status in the Boot Complete  
(BOOTCMPLT) register.  
In addition, the BOOTCMPLT register is used for communication between the external host and the  
bootloader code during a Host Boot (HPI Boot or PCI Boot). Once the external host has completed boot, it  
must perform the following communication with the bootloader code:  
Write the desired 32-bit CPU starting address in the DSPBOOTADDR register (see Section 3.4.2.3,  
DSPBOOTADDR Register).  
Write a ‘1’ to the Boot Complete (BC) bit field in the BOOTCMPLT register to indicate that the host has  
completed booting this device.  
Once the bootloader code detects BC = 1, it directs the CPU to begin executing from the  
DSPBOOTADDR register.  
The BOOTCMPLT register is reset by any device-level global reset. For the list of device-level global  
resets, see Section 6.5, Reset.  
31  
15  
20  
19  
16  
RESERVED  
ERR  
R/W-0000 0000 0000  
R/W-0000  
1
0
RESERVED  
R/W- 0000 0000 0000 000  
LEGEND: R = Read; W = Write; -n = value after reset  
BC  
R/W-0  
Figure 3-4. BOOTCMPLT Register— 0x01C4 000C  
Table 3-10. BOOTCMPLT Register Description  
Bit  
Field Name Description  
31:20  
RESERVED Reserved. For proper device operation, the user should only write "0" to these bits.  
Boot Error  
0000 = No Error (default).  
19:16  
15:1  
ERR  
0001 - 1111 = bootloader software detected a boot error and aborted the boot. For the error codes, see the  
Using the TMS320DM643x DMP Bootloader Application Report (literature number SPRAAG0).  
RESERVED Reserved. For proper device operation, the user should only write "0" to these bits.  
Boot Complete Flag from Host  
This field is only applicable to Host Boots.  
0
BC  
0 = Host has not completed booting this device (default).  
1 = Host has completed booting this device. DSP can begin executing from the DSPBOOTADDR register  
value.  
Submit Documentation Feedback  
Device Configurations  
85  
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
3.4.2.3 DSPBOOTADDR Register  
The DSP Boot Address (DSPBOOTADDR) register contains the starting address for the C64x+ CPU.  
Whenever the C64x+ is released from reset, it begins executing from the location pointed to by  
DSPBOOTADDR register. For Host boots (HPI Boot or PCI Boot), the DSPBOOTADDR register is also  
used for communication between the Host and the bootloader code during boot.  
The DSPBOOTADDR register is reset by any device-level global reset. For the list of device-level global  
resets, see Section 6.5, Reset.  
31  
0
DSPBOOTADDR  
R/W-0x0010 0000 or 0x4200 00000  
LEGEND: R = Read; W = Write; -n = value after reset  
Figure 3-5. DSPBOOTADDR Register— 0x01C4 0008  
Table 3-11. DSPBOOTADDR Register Description  
Bit  
Field Name  
Description  
DSP Boot Address  
After boot, the C64x+ CPU begins execution from this 32-bit address location. The lower 10 bits  
(bits 9:0) should always be programmed to "0" as they are ignored by the C64x+. The default  
value of the DSPBOOTADDR depends on the boot mode selected.  
31:0  
DSPBOOTADDR  
The DSPBOOTADDR defaults to 0x00100000 when the Internal Bootloader ROM is used.  
or  
The DSPBOOTADDR defaults to 0x42000000 when EMIFA CS2 Space is used.  
For the boot mode selections, see Table 3-5, Non-Fastboot Modes; Table 3-6, Fixed-Multiplier  
Fastboot Modes; and Table 3-7, User-Select Multiplier Fastboot Modes.  
For Non-Host Boot Modes, software can leave the DSPBOOTADDR register at default.  
For Host Boots (HPI Boot or PCI Boot), the DSPBOOTADDR register is also used for communication  
between the Host and the bootloader code during boot. For Host Boots, the DSPBOOTADDR register  
defaults to Internal Bootloader ROM, and the C64x+ CPU is immediately released from reset so that it can  
begin executing the bootloader code in this internal ROM. The bootloader code waits for the Host to boot  
the device. Once the Host is done booting the device, it must write a new starting address into the  
DSPBOOTADDR register, and follow with writing BOOTCMPLT.BC = 1 to indicate the boot is complete.  
As soon as the bootloader code detects BOOTCMPLT.BC = 1, it instructs the CPU to jump to this new  
DSPBOOTADDR address. At this point, the CPU continues the rest of the code execution starting from  
the new DSPBOOTADDR location and the boot is completed.  
86  
Device Configurations  
Submit Documentation Feedback  
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
3.5 Configurations At Reset  
Some device configurations are determined at reset. The following subsections give more details.  
3.5.1 Device and Peripheral Configurations at Device Reset  
Table 2-5, BOOT Terminal Functions, lists the device boot and configuration pins that are latched at  
device reset for configuring basic device settings for proper device operation. Table 3-12, summarizes the  
device boot and configuration pins, and the device functions that they affect.  
Table 3-12. Default Functions Affected by Device Boot and Configuration Pins  
DEVICE BOOT AND  
CONFIGURATION PINS  
BOOT SELECTED  
Boot Mode  
PIN MUX CONTROL  
GLOBAL SETTING  
PERIPHERAL SETTING  
BOOTMODE[3:0]  
PINMUX0/PINMUX1  
Registers:  
I/O Pin Power:  
Based on  
PSC/Peripherals:  
Based on  
Based on  
BOOTMODE[3:0], the  
BOOTMODE[3:0], the  
BOOTMODE[3:0], the  
bootloader code programs bootloader code programs  
bootloader code programs VDD3P3V_PWDN register the PSC to put  
PINMUX0 and PINMUX1 to power up the I/O pins boot-related peripheral(s)  
registers to select the  
appropriate pin functions  
required for boot.  
required for boot.  
in the Enable State, and  
programs the peripheral(s)  
for boot operation.  
FASTBOOT  
Fastboot  
Sets Device Frequency:  
Based on BOOTMODE,  
FASTBOOT, PLLMS, and  
AEM the bootloader code  
programs PLLC1.  
AEAW[2:0]/PLLMS[2:0]  
If FASTBOOT = 1 and  
PINMUX0.AEAW:  
Sets Device Frequency:  
AEM = 000b, 011b, 100b If PINMUX0.AEM = 001b, Based on BOOTMODE,  
or 101b the PLLMS[2:0]  
selects the FASTBOOT  
PLL Multiplier.  
AEAW[2:0] must be set to FASTBOOT, PLLMS, and  
100b to configure  
maximum address bus  
width for EMIFA.  
AEM the bootloader code  
programs PLLC1.  
Affects the pin muxing in  
EMIFA/VPSS Sub-Block  
0.  
AEM[2:0]  
Together with FASTBOOT PINMUX0.AEM:  
Sets Device Frequency: PSC/EMIFA:  
and PLLMS[2:0] ,  
determines the  
FASTBOOT PLL  
Multiplier.  
Sets the default of this  
field to control the EMIFA FASTBOOT, PLLMS, and defaults to SwRstDisable  
Pinout Mode.  
Based on BOOTMODE,  
The EMIFA module state  
AEM the bootloader code if AEM = 0; otherwise, the  
programs PLLC1.  
EMIFA module state  
defaults to Enable.  
Affects the pin muxing in  
EMIFA/VPSS Sub-Block  
0, 1, and 3.  
PCIEN(1)  
Host Boot:  
PINMUX1.PCIEN:  
PSC/Peripheral  
PCIEN selects the type of sets this field to control  
(Applicable to Host Boot  
only):  
Based on the Host Boot  
type (PCI or HPI), the  
bootloader code programs  
the PSC to put the  
corresponding peripheral  
in the Enable State, and  
programs the peripheral  
for boot operation.  
Host Boot  
(HPI Boot or PCI Boot)  
the PCI pin muxing in  
Host Block, PCI Data  
Block, GPIO Block,  
EMIFA/VPSS Sub-Block 0  
and Sub-Block 3.  
(1)(2)  
(1) Software can modify all PINMUX0 and PINMUX1 bit fields from their defaults, except for PINMUX1.PCIEN.  
(2) In addition to pin mux control, PCIEN also affects the internal pullup/down resistors of the PCI capable pins. When PCIEN = 0, internal  
pullup/down resistors on the PCI capable pins are enabled. When PCIEN = 1, internal pullup/down resistors on the PCI capable pins are  
disabled to be compliant to the PCI Local Bus Specification Revision 2.3.  
Submit Documentation Feedback  
Device Configurations  
87  
 
 
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
For proper device operation, external pullup/pulldown resistors may be required on these device boot and  
configuration pins. For discussion situations where external pullup/pulldown resistors are required, see  
Section 3.9.1, Pullup/Pulldown Resistors.  
Note: Except for PCIEN, all other DM6433 configuration inputs (BOOTMODE[3:0], FASTBOOT,  
AEAW[2:0]/PLLMS[2:0] and AEM[2:0]) are multiplexed with other functional pins. These pins function as  
device boot and configuration pins only during device reset. The user must take care of any potential data  
contention in the system. To help avoid system data contention, the DM6433 puts these configuration pins  
into a high-impedance state (Hi-Z) when device reset (RESET or POR) is asserted, and continues to hold  
them in a high-impedance state until the internal global reset is removed; at which point, the default  
peripheral (either GPIO or EMIFA based on default of AEM[2:0]) will now control these pins.  
All of the device boot and configuration pin settings are captured in the corresponding bit fields in the  
BOOTCFG register (see Section 3.4.2.1).  
The following subsections provide more details on the device configurations determined at device reset:  
AEM, AEAW/PLLMS, and PCIEN.  
3.5.1.1 EMIFA Pinout Mode (AEM[2:0])  
To support different usage scenarios, the DM6433 provides intricate pin multiplexing between the EMIFA  
and other peripherals. The PINMUX0.AEM register bit field in the System Module determines the EMIFA  
Pinout Mode. The AEM[2:0] pins only select the default EMIFA Pinout Mode. It is latched at device reset  
de-assertion (high) into the BOOTCFG.DAEM bit field. The AEM[2:0] value also sets the default of the  
PINMUX0.AEM bit field. While the BOOTCFG.DAEM bit field shows the actual latched value and cannot  
be modified, the PINMUX0.AEM value can be changed by software to modify the EMIFA Pinout Mode.  
Note: The AEM[2:0] value does not affect the operation of the EMIFA module itself. It only affects which  
EMIFA pins are brought out to the device pins. For more details on the AEM settings, see Section 3.7,  
Multiplexed Pin Configurations.  
In addition, for Fastboot modes (FASTBOOT = 1), the bootloader code determines the PLL1 multiplier  
based on the default settings of AEM[2:0] and PLLMS[2:0]. For more details, see Section 3.4.1.1,  
Fastboot, and Section 3.5.1.2, EMIFA Address Width Select (AEAW) and FASTBOOT PLL Multiplier  
Select (PLLMS).  
3.5.1.2 EMIFA Address Width Select (AEAW) and FASTBOOT PLL Multiplier Select (PLLMS)  
The AEAW[2:0]/PLLMS[2:0] pins serve two functional purposes (AEAW or PLLMS), depending on the  
FASTBOOT and AEM settings. The AEAW[2:0]/PLLMS[2:0] pins are latched at device reset de-assertion  
(high) and captured in the BOOTCFG.PLLMS bit field. This value also sets the default of the  
PINMUX0.AEAW field.  
While the BOOTCFG.PLLMS field shows the actual latched value and cannot be modified, the  
PINMUX0.AEAW value can be changed by software to modify the EMIFA pinout.  
AEAW as EMIFA Address Width Select (AEAW)  
If AEM[2:0] = 001b [8-bit EMIFA (Async) Pinout Mode 1], the AEAW[2:0]/PLLMS[2:0] pins serve as AEAW  
to set the default of the EMIFA Address Width Selection.  
On DM6433, only AEAW = 100b is supported. If AEM[2:0] = 001b [8-bit EMIFA (Async) Pinout Mode 1],  
AEAW must be set to 100b to select full addres width for EMIFA. For other EMIFA Pinout Modes (AEM  
not 001b), AEAW is not applicable in determining the EMIFA address width.  
Note: AEAW[2:0] value does not affect the operation of the EMIFA module itself.  
88  
Device Configurations  
Submit Documentation Feedback  
 
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
AEAW as Fast Boot PLL Multiplier Select (PLLMS)  
If FASTBOOT = 1 and AEM[2:0] = 000b [No EMIFA], 011b [8-bit EMIFA (Async) Pinout Mode 3], 100b  
[8-bit EMIFA (NAND) Pinout Mode 4], or 101b [8-bit EMIFA (NAND) Pinout Mode 5], the  
AEAW[2:0]/PLLMS[2:0] pins serve as PLLMS to select PLL multiplier for Fastboot modes.  
For more information on boot modes and the FASTBOOT PLL multiplier selection, see Section 3.4.1, Boot  
Modes.  
3.5.1.3 PCI Enable (PCIEN)  
The PCIEN configuration pin determines if the PCI peripheral is used on this device. If PCIEN = 1  
indicating the PCI is used, then the PCI multiplexed pins default to PCI functions, and the pins’  
corresponding internal pullup/pulldown resistors are disabled. If PCIEN = 0 indicating the PCI is not used,  
then the PCI muxed pins default to non-PCI functions, and the pins’ corresponding internal  
pullup/pulldown resistors are enabled.  
The PCIEN setting is captured and stored in the BOOTCFG.DPCIEN bit field, and also in the  
PINMUX1.PCIEN bit field. These values cannot be changed by software. Furthermore, for proper device  
operation, the user must hold the desired setting at the PCIEN pin throughout device operation.  
Submit Documentation Feedback  
Device Configurations  
89  
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
3.6 Configurations After Reset  
The following sections provide details on configuring the device after reset.  
Multiplexed pins are configured both at and after reset. Section 3.5.1, Device and Peripheral  
Configurations at Device Reset, discusses multiplexed pin control at reset. For more details on multiplexed  
pins control after reset, see Section 3.7 , Multiplexed Pin Configurations.  
3.6.1 Switch Central Resource (SCR) Bus Priorities  
Prioritization within the Switched Central Resource (SCR) is programmable for each master. The register  
bit fields and default priority levels for DM6433 bus masters are shown in Table 3-13, DM6433 Default Bus  
Master Priorities. The priority levels should be tuned to obtain the best system performance for a particular  
application. Lower values indicate higher priority. For most masters, their priority values are programmed  
at the system level by configuring the MSTPRI0 and MSTPRI1 registers. Details on the MSTPRI0/1  
registers are shown in Figure 3-6 and Figure 3-7. The C64x+, VPSS, and EDMA masters contain registers  
that control their own priority values.  
Table 3-13. DM6433 Default Bus Master Priorities  
Priority Bit Field  
VPSSP  
Bus Master  
VPSS  
Default Priority Level  
0 (VPSS PCR Register)  
EDMATC0P  
EDMATC1P  
EDMATC2P  
C64X+_DMAP  
C64X+_CFGP  
EMACP  
EDMATC0  
EDMATC1  
EDMATC2  
C64X+ (DMA)  
C64X+ (CFG)  
EMAC  
0 (EDMACC QUEPRI Register)  
0 (EDMACC QUEPRI Register)  
0 (EDMACC QUEPRI Register)  
7 (C64x + MDMAARBE.PRI field)  
1 (MSTPRI0 Register)  
4 (MSTPRI1 Register)  
VLYNQP  
VLYNQ  
4 (MSTPRI1 Register)  
HPIP  
HPI  
4 (MSTPRI1 Register)  
PCIP  
PCI  
4 (MSTPRI1 Register)  
31  
15  
16  
0
RESERVED  
R-0000 0000 0000 0000  
11  
10  
8
7
RESERVED  
R-0000 0  
C64X+_CFGP  
R/W-001  
RESERVED  
R-0000 0000  
LEGEND: R = Read; W = Write; -n = value after reset  
Figure 3-6. MSTPRI0 Register— 0x01C4 003C  
Table 3-14. MSTPRI0 Register Description  
Bit  
Field Name  
Description  
31:11  
RESERVED  
Reserved. Read-only, writes have no effect.  
C64X+_CFG master port priority in System Infrastructure.  
000 = Priority 0 (Highest)  
001 = Priority 1  
100 = Priority 4  
10:8  
C64X+_CFGP  
101 = Priority 5  
010 = Priority 2  
110 = Priority 6  
011 = Priority 3  
111 = Priority 7 (Lowest)  
90  
Device Configurations  
Submit Documentation Feedback  
 
 
 
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
Table 3-14. MSTPRI0 Register Description (continued)  
Bit  
Field Name  
Description  
7:0  
RESERVED  
Reserved. Read-only, writes have no effect.  
31  
15  
27  
26  
25  
24  
23  
22  
21  
20  
19  
18  
2
17  
16  
0
RESERVED  
R-0000 0  
PCIP  
RSV  
R-0  
HPIP  
RSV  
R-0  
VLYNQP  
R/W-100  
R/W-100  
R/W-100  
3
1
RESERVED  
EMACP  
R- 0000 0000 0000 0  
LEGEND: R = Read; W = Write; -n = value after reset  
R/W-100  
Figure 3-7. MSTPRI1 Register— 0x01C4 0040  
Table 3-15. MSTPRI1 Register Description  
Bit  
Field Name  
Description  
31:27  
RESERVED  
Reserved. Read-only, writes have no effect.  
PCI master port priority in System Infrastructure.  
000 = Priority 0 (Highest)  
001 = Priority 1  
100 = Priority 4  
101 = Priority 5  
110 = Priority 6  
26:24  
23  
PCIP  
010 = Priority 2  
011 = Priority 3  
111 = Priority 7 (Lowest)  
RSV  
Reserved. Read-only, writes have no effect.  
HPI master port priority in System Infrastructure.  
000 = Priority 0 (Highest)  
001 = Priority 1  
100 = Priority 4  
22:20  
19  
HPIP  
101 = Priority 5  
010 = Priority 2  
110 = Priority 6  
011 = Priority 3  
111 = Priority 7 (Lowest)  
RSV  
Reserved. Read-only, writes have no effect.  
VLYNQ master port priority in System Infrastructure.  
000 = Priority 0 (Highest)  
001 = Priority 1  
100 = Priority 4  
18:16  
15:3  
2:0  
VLYNQP  
RESERVED  
EMACP  
101 = Priority 5  
010 = Priority 2  
110 = Priority 6  
011 = Priority 3  
111 = Priority 7 (Lowest)  
Reserved. Read-only, writes have no effect.  
EMAC master port priority in System Infrastructure.  
000 = Priority 0 (Highest)  
001 = Priority 1  
100 = Priority 4  
101 = Priority 5  
010 = Priority 2  
110 = Priority 6  
011 = Priority 3  
111 = Priority 7 (Lowest)  
3.6.2 Peripheral Selection After Device Reset  
After device reset, most peripheral configurations are done within the peripheral’s registers. This section  
discusses some additional peripheral controls in the System Module. For information on multiplexed pin  
controls that determine what peripheral pins are brought out to the pins, see Section 3.7, Multiplexed Pin  
Configurations.  
Submit Documentation Feedback  
Device Configurations  
91  
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
3.6.2.1 HPI Control Register (HPICTL)  
The HPI Control (HPICTL) register determines the Host Burst Write Time-Out value. The user should  
only modify this register once during device initialization. When modifying this register, the user  
must ensure the HPI FIFOs are empty and there are no on-going HPI transactions.  
31  
15  
16  
RESERVED  
R-0000 0000 0000 0000  
10  
9
8
7
0
RESERVED  
R- 0000 00  
RESERVED  
R/W-00  
TIMOUT  
R/W-1000 0000  
LEGEND: R = Read; W = Write; -n = value after reset  
Figure 3-8. HPICTL Register— 0x01C4 0030  
Table 3-16. HPICTL Register Description  
Bit  
Field Name Description  
31:10  
9:8  
RESERVED Reserved. Read-only, writes have no effect.  
RESERVED Reserved. For proper device operation, the user should only write "0" to these bits (default).  
Host Burst Write Timeout Value  
When the HPI time-out counter reaches the value programmed here, the HPI write FIFO content is flushed. For  
more details on the time-out counter and its use in write bursting, see the TMS320DM643x DMP Host Port  
Interface (HPI) User's Guide (literature number SPRU998).  
7:0  
TIMOUT  
3.6.2.2 Timer Control Register (TIMERCTL)  
The Timer Control Register (TIMERCTL) provides additional control for Timer0 and Timer2. The user  
should only modify this register once during device initialization, when the corresponding Timer is  
not in use.  
Timer 2 Control: The TIMERCTL.WDRST bit determines if the WatchDog timer event (Timer 2) can  
cause a device max reset. For more details on the description of a maximum reset, see Section 6.5.3,  
Maximum Reset.  
Timer 0 Control: The TINP0SEL bit selects the clock source connected to Timer0's TIN0 input.  
31  
15  
16  
RESERVED  
R-0000 0000 0000 0000  
2
1
0
TINP0  
SEL  
WD  
RST  
RESERVED  
R- 0000 0000 0000 00  
R/W-0  
R/W-1  
LEGEND: R = Read; W = Write; -n = value after reset  
Figure 3-9. TIMERCTL Register— 0x01C4 0084  
92  
Device Configurations  
Submit Documentation Feedback  
 
 
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
Table 3-17. TIMERCTL Register Description  
Bit  
Field Name Description  
31:2  
RESERVED Reserved. Read-Only, writes have no effect.  
Timer0 External Input (TIN0) Select  
0 = Timer0 external input comes directly from the TINP0L pin (default).  
1 = Timer0 external input is TINP0L pin divided by 6. For example, if TINP0L = 27MHz, Timer0 input TIN0 is  
27MHz / 6 = 4.5 MHz.  
1
0
TINP0SEL  
WatchDog Reset Enable  
0 = WatchDog Timer Event (WDINT from Timer2) does not cause device reset.  
1 = WatchDog Timer Event (WDINT from Timer2) causes a device max reset (default).  
WDRST  
3.6.2.3 EDMA TC Configuration Register (EDMATCCFG)  
The EDMA Transfer Controller Configuration (EDMATCCFG) register configures the default burst size  
(DBS) for EDMA TC0, EDMA TC1, and EDMA TC2. For more information on the correct usage of DBS,  
see the TMS320DM643x DMP Enhanced Direct Memory Access (EDMA) Controller User's Guide  
(literature number SPRU987). The user should only modify this register once during device  
initialization and when the corresponding EDMA TC is not in use.  
31  
15  
16  
RESERVED  
R-0000 0000 0000 0000  
6
5
4
3
2
1
0
RESERVED  
TC2DBS  
R/W-10  
TC1DBS  
R/W-01  
TC0DBS  
R/W-00  
R-0000 0000 00  
LEGEND: R = Read; W = Write; -n = value after reset  
Figure 3-10. EDMATCCFG Register— 0x01C4 0088  
Table 3-18. EDMATCCFG Register Description  
Bit  
Field  
Description  
31:6  
RESERVED Reserved. Read-Only, writes have no effect.  
EDMA TC2 Default Burst Size  
00 = 16 byte  
01 = 32 byte  
10 = 64 byte (default)  
11= reserved  
5:4  
3:2  
1:0  
TC2DBS  
EDMA TC2 is intended for PCI or miscellaneous transfers.  
TC2 FIFO size is 128 bytes, regardless of Default Burst Size setting.  
EDMA TC1 Default Burst Size  
00 = 16 byte  
01 = 32 byte (default)  
10 = 64 byte  
11 = reserved  
TC1DBS  
EDMA TC1 is intended for high throughput bulk transfers.  
TC1 FIFO size is 256 bytes, regardless of Default Burst Size setting.  
EDMA TC0 Default Burst Size  
00 = 16 byte (default)  
01 = 32 byte  
10 = 64 byte  
11 = reserved  
TC0DBS  
EDMA TC0 is intended for short burst transfers with stringent deadlines (e.g., McBSP, McASP).  
TC0 FIFO size is 128 bytes, regardless of Default Burst Size setting.  
Submit Documentation Feedback  
Device Configurations  
93  
 
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
3.7 Multiplexed Pin Configurations  
DM6433 makes extensive use of pin multiplexing to accommodate a large number of peripheral functions  
in the smallest possible package, providing ultimate flexibility for end applications.  
The Pin Multiplex Registers PINMUX0 and PINMUX1 in the System Module are responsible for controlling  
all pin multiplexing functions on the DM6433. The default setting of some of the PINMUX0 and PINMUX1  
bit fields are configured by configuration pins latched at reset (see Section 3.5.1, Device and Peripheral  
Configurations at Device Reset). After reset, software may program the PINMUX0 and PINMUX1 registers  
to switch pin functionalities.  
The following peripherals have multiplexed pins: VPSS (VPBE), EMIFA, PCI, HPI, VLYNQ, EMAC,  
McASP0, McBSP0, PWM0, PWM1, PWM2, Timer0, Timer1, UART0, and GPIO.  
The device is divided into the following Pin Multiplexed Blocks (Pin Mux Blocks):  
EMIFA/VPSS Block: VPSS (VPBE), EMIFA, part of PCI, GPIO. This block is further subdivided into  
these sub-blocks:  
Sub-Block 0: part of EMIFA (data, address, control), part of PCI, and GPIO  
Sub-Block 1: VPBE (VENC), part of EMIFA (data, address, control), and GPIO  
Sub-Block 2: part of EMIFA (control signals EM_WAIT/(RDY/BSY), EM_OE, and EM_WE)  
Sub-Block 3: part of EMIFA (address EM_A[12:5]), part of PCI, and GPIO  
Host Block: HPI, VLYNQ, EMAC, part of PCI, and GPIO  
PCI Data Block: part of PCI  
GPIO Block: part of PCI and GPIO  
Serial Port Block: McBSP0, McASP0, and GPIO. This block is further sub-divided into sub-blocks.  
Serial Port Sub-Block 0: McBSP0, part of McASP0, and GPIO  
Serial Port Sub-Block 1: part of McASP0, and GPIO  
UART0 Flow Control Block: UART0 flow control, PWM0, and GPIO  
UART0 Data Block: UART0 data and GPIO  
Timer0 Block: Timer0 and McBSP0 CLKS pins  
Timer1 Block: Timer1  
PWM1 Block: PWM1 and GPIO  
CLKOUT Block: CLKOUT0, PWM2, and GPIO  
As shown in the list above, the PCI, McBSP0, and UART0 peripherals span multiple Pin Mux Blocks. To  
use these peripherals, they must be selected in all relevant Pin Mux Blocks. For more details, see  
Section 3.7.3, Pin Multiplexing Details, and Section 3.7.3.2, Peripherals Spanning Multiple Pin Mux  
Blocks.  
Note: There is no actual pin multiplexing in EMIFA/VPSS Sub-Block 2 and the PCI Data Block. However  
these are still considered "pin mux blocks" because they contain part of the pins necessary for EMIFA and  
PCI, respectively.  
A high level view of the Pin Mux Blocks is shown in Figure 3-11. In each Pin Mux Block, the  
PINMUX0/PINMUX1 default settings are underlined.  
Note: Some default pin functions are determined by configuration pins (PCIEN, AEAW[2:0], AEM[2:0]);  
therefore, more than one configuration setting can serve as default based on the configuration pin settings  
latched at device reset.  
94  
Device Configurations  
Submit Documentation Feedback  
 
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
(A)(C)  
Host Block (27 pins)  
(C)  
PCI Data Block (3 pins)  
VLYNQ  
(10)  
VLYNQ  
(10)  
PCI (27)  
GPIO (27)  
HPI (26)  
GPIO (1)  
EMAC (15)  
PCI (3)  
MDIO  
(2)  
GPIO (17)  
EMAC (15)  
Not muxed  
MDIO  
(2)  
GPIO (10)  
PCIEN=1  
HOSTBK=000  
PCIEN=0  
HOSTBK=000  
PCIEN=0  
HOSTBK=001  
PCIEN=0  
HOSTBK=010  
PCIEN=0  
HOSTBK=011  
PCIEN=0  
HOSTBK=100  
(C)  
GPIO Block (4 pins)  
PWM 1 Block (1 pin)  
CLKOUT Block (1 pin)  
PCI  
(4)  
GPIO  
(4)  
GPIO  
(1)  
PWM1  
(1)  
GPIO  
(1)  
CLKOUT  
(1)  
PWM2  
(1)  
PCIEN=1  
PCIEN=0  
PWM1BK=0  
PWM1BK=1  
CKOBK=00 CKOBK=01  
CKOBK=10  
UART0 Data Block (2 pins)  
UART0 Flow Control Block (2 pins)  
PWM0 (1)  
UART  
GPIO (2)  
UART0  
GPIO (2)  
Data (2)  
FlowCtrl (2)  
GPIO (1)  
UR0DBK=0  
UR0DBK=1  
UR0FCBK=00  
UR0FCBK=01  
UR0FCBK=10  
(D)  
Timer1 Block (2 pins)  
Timer0 Block (2 pins)  
Timer1  
(2)  
McBSP0  
CLKS0 (1)  
Timer0  
(2)  
GPIO (2)  
GPIO (2)  
Timer0  
TINPOL (1)  
TIM1BK=00  
TIM1BK=01  
TIM0BK=00  
TIM0BK=01  
TIM0BK=11  
(D)  
Serial Port Sub-Block 0 (6 pins)  
Serial Port Sub-Block 1 (6 pins)  
McBSP0  
GPIO (6)  
(6)  
GPIO (6)  
McASP0  
McASP0 Receive  
and 3 Serializers (6)  
Transmit and  
1 Serializer (6)  
SPBK0=00  
SPBK0=01  
SPBK0=10  
SPBK1=00  
SPBK1=10  
(A)(B)(C)  
EMIFA/VPSS Block (61 pins)  
8b EMIFA  
(NAND)  
Pinout  
8b EMIFA  
(Async)  
Pinout  
Mode 1  
16MB  
8b EMIFA  
(Async)  
Pinout  
Mode 3  
32KB per  
CE  
8b EMIFA  
(NAND)  
Pinout  
8b EMIFA  
(NAND)  
Pinout  
Mode 5  
Mode 4  
Mode 5  
per CE  
PCI  
PCI  
8b  
VPBE  
8-24b  
VPBE  
8-16b  
VPBE  
8-16b  
VPBE  
8-24b  
VPBE  
8b  
VPBE  
8b  
VPBE  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
Note: For Major Config Option B, AEAW = “100”.  
For all others, AEAW = “don’t care”.  
Major Config  
Option A  
Major Config  
Option B  
Major Config  
Option C  
Major Config  
Option D  
Major Config  
Option E  
Major Config  
Option F  
Major Config  
Option G  
AEM=000,  
PCIEN=0  
AEM=001,  
PCIEN=0  
AEM=011,  
PCIEN=0  
AEM=000,  
PCIEN=1  
AEM=101,  
PCIEN=1  
AEM=101,  
PCIEN=0  
AEM=100,  
PCIEN=0  
A. Default settings for PINMUX0 and PINMUX1 registers are underlined.  
B. EMIFA/VPSS Block: shows the Major Config Options based on the AEM and PCIEN settings. Actual pin functions in  
the EMIFA/VPSS Block are further determined by other PINMUX fields.  
C. PCI pins span multiple blocks (Host Block, GPIO Block, EMIFA/VPSS Block, and PCI Data Block). For PCI to be  
operational, PCI pins must be selected in all of these Pin Mux Blocks. For the EMIFA/VPSS Block, PCI is only  
supported if AEM = 000b or 101b.  
D. McBSP0 pins span multiple blocks (Serial Port Sub-Block0 and Timer0 Block). Serial Port Sub-Block0 contains most  
of the pins needed for McBSP0 operation. Timer0 Block contains the optional external clock source input CLKS0.  
Figure 3-11. Pin Mux Block Selection  
3.7.1 Pin Muxing Selection At Reset  
This section summarizes pin mux selection at reset.  
Submit Documentation Feedback  
Device Configurations  
95  
 
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
The configuration pins AEM[2:0], AEAW[2:0], and PCIEN latched at device reset determine default pin  
muxing for the following Pin Mux Blocks:  
EMIFA/VPSS Block: default pin mux determined by AEM[2:0], AEAW[2:0], and PCIEN. After reset,  
software may modify settings in the PINMUX0 register to add VPBE functionalities into this  
block. However, after reset, software is not allowed to modify PINMUX1.PCIEN setting to  
change PCI pinout.  
AEM[2:0] = 000b, AEAW[2:0] = don't care, PCIEN = 0: Major Config Option A is selected. This  
block defaults to 61 GPIO pins.  
AEM[2:0] = 001b, AEAW[2:0] = 100b, PCIEN = 0: Major Config Option B is selected. This block  
defaults to 8-bit EMIFA (Async) Pinout Mode 1, plus 24 GPIO pins.  
AEM[2:0] = 011b, AEAW[2:0] = don't care, PCIEN = 0: Major Config Option C is selected. This  
block defaults to 8-bit EMIFA (Async) Pinout Mode 3, plus 33 GPIO pins.  
AEM[2:0] = 100b, AEAW[2:0] = don't care, PCIEN = 0: Major Config Option D is selected. This  
block defaults to 8-bit EMIFA (NAND) Pinout Mode 4, plus 47 GPIO pins.  
AEM[2:0] = 101b, AEAW[2:0] = don't care, PCIEN = 0: Major Config Option E is selected. This  
block defaults to 8-bit EMIFA (NAND) Pinout mode 5, plus 47 GPIO pins.  
AEM[2:0] = 000b, AEAW[2:0] = don't care, PCIEN = 1: Major Config Option F is selected. This  
block defaults to PCI pins, plus 45 GPIO pins.  
AEM[2:0] = 101b, AEAW[2:0] = don't care, PCIEN = 1: Major Config Option G is selected. This  
block defaults to 8-bit EMIFA (NAND) Pinout mode 5, PCI pins, plus 31 GPIO pins.  
Host Block: default pin mux determined by PCIEN.  
PCIEN = 0: the 27 pins in Host Block default to GPIO function. Software may program  
PINMUX1.HOSTBK to modify pin functions after reset.  
PCIEN = 1: the 27 pins in Host Block serve as PCI pins. Software is not allowed to modify this  
setting after reset.  
GPIO Block: pin function determined by PCIEN configuration pin.  
PCIEN = 0: the 4 pins in GPIO Block serve as GPIO pins. Software is not allowed to modify this  
setting after reset.  
PCIEN = 1: the 4 pins in GPIO Block serve as PCI pins. Software is not allowed to modify this  
setting after reset.  
PCI Data Block: pin function determined by PCIEN.  
PCIEN = 0: the 3 pins in PCI Data Block have no function and should be left unconnected.  
Software is not allowed to modify this setting after reset.  
PCIEN = 1: the 3 pins in PCI Data Block serve as PCI pins. Software is not allowed to modify  
this setting after reset.  
For a description of the PINMUX0 and PINMUX1 registers and more details on pin muxing, see  
Section 3.7.2.  
96  
Device Configurations  
Submit Documentation Feedback  
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
3.7.2 Pin Muxing Selection After Reset  
The PINMUX0 and PINMUX1 registers in the System Module allow software to select the pin functions in  
the Pin Mux Blocks. The pin control of some of the Pin Mux Blocks requires a combination of  
PINMUX0/PINMUX1 bit fields. For more details on the combination of the PINMUX bit fields that control  
each muxed pin, see Section 3.7.3.1, Multiplexed Pins on DM6433.  
This section only provides an overview of the PINMUX0 and PINMUX1 registers. For more detailed  
discussion on how to program each Pin Mux Block, see Section 3.7.3, Pin Multiplexing Details.  
3.7.2.1 PINMUX0 Register Description  
The Pin Multiplexing 0 Register (PINMUX0) controls the pin function in the EMIFA/VPSS Block. The  
PINMUX0 register format is shown in Figure 3-12 and the bit field descriptions are given in Table 3-19.  
Some muxed pins are controlled by more than one PINMUX bit field. For the combination of the PINMUX  
bit fields that control each muxed pin, see Section 3.7.3.1, Multiplexed Pins on DM6433. For more  
information on EMIFA/VPSS Block pin muxing, see Section 3.7.3.13, EMIFA/VPSS Block Muxing. For the  
pin-by-pin muxing control of the EMIFA/VPSS Block, see Section 3.7.3.13.7, EMIFA/VPSS Block  
Pin-By-Pin Multiplexing Summary.  
Note: In addition to PINMUX0 bit fields, the EMIFA/VPSS Block also requires the PCIEN bit in the Pin  
Multiplexing 1 Register (PINMUX1, Section 3.7.2.2) to determine the PCI settings.  
31  
30  
29  
28  
27  
26  
25  
24  
23  
22  
21  
20  
19  
18  
17  
16  
RESERVED  
AEAW  
R/W-LLL  
R/W-0000 0000 0000 0  
15  
14  
13  
12  
11  
10  
9
8
7
6
5
4
3
2
1
0
VPBE  
CKEN  
RGBSEL  
R/W-000  
CS3SEL  
R/W-00  
CS4SEL  
R/W-00  
CS5SEL  
R/W-00  
VENCSEL  
RSV  
R/W-0  
AEM  
R/W-0  
R/W-00  
R/W-LLL  
LEGEND: R/W = Read/Write; R = Read only; L = pin state latched at reset rising edge; -n = value after reset  
(1) For proper DM6433 device operation, always write a value of "0" to all RESERVED/RSV bits.  
Figure 3-12. PINMUX0 Register— 0x01C4 0000 (1)  
Submit Documentation Feedback  
Device Configurations  
97  
 
 
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
Table 3-19. PINMUX0 Register Bit Descriptions  
Bit  
Field Name  
Description  
Pins Controlled  
Reserved. For proper device operation, the user should only write "0" to these bits  
(default).  
31:19  
RSV  
8-bit EMIFA (Async) Pinout Mode 1 Address Width Select or Fast Boot PLL  
Multiplier Select  
This field serves two purposes:  
Sub-Block 0  
1. If AEM = 001b, this field serves as the 8-bit EMIFA (Async) Pinout Mode 1  
Address Width Select.  
EM_A[13]/AD25/EM_D[0]/GP[51]  
EM_A[14]/AD27/EM_D[1]/GP[50]  
EM_A[15]/AD29/EM_D[2]/GP[49]  
EM_A[16]/PGNT/EM_D[3]/GP[48]  
EM_A[17]/AD31/EM_D[4]/GP[47]  
EM_A[18]/PRST/EM_D[5]/GP[46]  
EM_A[19]/PREQ/EM_D[6]/GP[45]  
EM_A[20]/PINTA/EM_D[7]/GP[44]  
2. If FASTBOOT = 1 and AEM = 0 (000b), 3 (011b), 4 (100b), or 5 (101b), this  
field serves as the Fastboot PLL Multiplier Select.  
18:16  
AEAW(1)  
Fastboot PLL Multiplier Select: For more details on the AEAW pin functions as  
Fastboot PLL Multiplier Select, see Section 3.4.1, Bootmodes.  
EMIFA Address Width Select:  
000b through 011b = Reserved.  
The combination of PINMUX0/1 fields PCIEN,  
AEM, and AEAW controls the muxing of these 8  
pins.  
(2)  
100b = EMIFA (Async) pinout supports address pins EM_A[20:0].  
EMIFA (Async) signals EM_A[20:13] are pinned out.  
101b through 111b = Reserved.  
VPBE Clock Select.  
Sub-Block 1  
0 = GPIO (default)  
VPBECKEN Pin functions as GPIO (GP[30]).  
VPBECLK/GP[30]  
15  
The PINMUX0 field VPBECKEN alone controls  
the muxing of this pin.  
1 = VPBE Clock (VPBECLK)  
Pin functions as VPBE Clock (VPBECLK).  
VENC RGB Mode and LCD_FIELD Select.  
000b = No VENC RGB Mode or LCD_FIELD supported.  
These pins function as GPIO and/or EMIFA based on AEM setting (default).  
001b = LCD_FIELD Mode.  
Sub-Block 1  
VENC LCD_FIELD pin function is supported. The remaining 7 pins function as  
GPIO and/or EMIFA based on AEM setting.  
Applicable only if AEM = 0 (000b), 4 (100b), or 5 (101b).  
G0/EM_CS2/GP[12]  
B0/LCD_FIELD/EM_A[3]/GP[11]  
R0/EM_A[4]/GP[10]/(AEAW2/PLLMS2)  
G1/EM_A[1]/(ALE)/GP[9]/AEAW1/PLLMS1)  
B1/EM_A[2]/(CLE)/GP[8]/(AEAW0/PLLMS0)  
R1/EM_A[0]/GP[7]/(AEM2)  
010b = RGB666 Mode.  
VENC RGB666 pins (R2, B2) are supported, along with 6 GPIO pins (GP[12:7]).  
Applicable only if AEM = 0 (000b).  
14:12  
RGBSEL  
R2/EM_BA[0]/GP[6]/(AEM1)  
B2/EM_BA[1]/GP[5]/(AEM0)  
011b = RGB666 + LCD_FIELD Mode.  
VENC RGB666 (R2, B2) and LCD_FIELD pins are supported, along with 5 GPIO  
pins (GP[12] and GP[10:7]).  
The combination of PINMUX0 fields RGBSEL  
Applicable only if AEM = 0 (000b).  
and AEM controls the muxing of these 8 pins.  
(2)  
100b = RGB888 Mode.  
VENC RGB888 (G0, B0, R0, G1, B1, R1, R2, B2) pins are supported.  
Applicable only if AEM = 0 (000b).  
101b through 111b = Reserved.  
Chip Select 3 Select.  
Sub-Block 1  
00 = GPIO pin (GP13) (default)  
LCD_OE/EM_CS3/GP[13]  
11:10  
CS3SEL  
CS4SEL  
01 = EMIFA Chip Select 3 (EM_CS3)  
The PINMUX0 field CS3SEL alone controls the  
muxing of this pin.  
10 = VENC LCD Output Enable (LCD_OE)  
11 = Reserved  
Chip Select 4 Select.  
Sub-Block 1  
00 = GPIO pin (GP32) (default)  
01 = EMIFA Chip Select 4 (EM_CS4)  
10 = VENC Vertical Sync (VSYNC)  
11 = Reserved  
VSYNC/EM_CS4/GP[32]  
9:8  
The PINMUX0 field CS4SEL alone controls the  
muxing of this pin.  
(1) The AEAW default value is latched at reset from AEAW[2:0] configuration inputs. The latched values are also shown at  
BOOTCFG.PLLMS (read-only).  
(2) For the full set of valid configurations of these pins, see Section 3.7.3.13.7, EMIFA/VPSS Block Pin-By-Pin Multiplexing Summary.  
98  
Device Configurations  
Submit Documentation Feedback  
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
Table 3-19. PINMUX0 Register Bit Descriptions (continued)  
Bit  
Field Name  
Description  
Pins Controlled  
Chip Select 5 Select.  
Sub-Block 1  
00 = GPIO pin (GP33) (default)  
HSYNC/EM_CS5/GP[33]  
7:6  
CS5SEL  
01 = EMIFA Chip Select 5 (EM_CS5)  
10 = VENC Horizontal Sync (HSYNC)  
11 = Reserved  
The PINMUX0 field CS5SEL alone controls the  
muxing of this pin.  
Sub-Block 1  
VCLK/GP[31]  
YOUT7/GP[29]  
YOUT6/GP[28]  
YOUT5/GP[27]  
YOUT4/GP[26]  
YOUT3/GP[25]  
YOUT2/GP[24]  
YOUT1/GP[23]  
YOUT0/GP[22]  
VENC Mode Select.  
00 = No VENC supported.  
9 pins function as GPIO (GP[31], GP[29:22]). The remaining 8 pins function as  
GPIO/EMIFA based on AEM setting.  
01 = 8-bit VENC supported.  
VENC VCLK, YOUT[7:0] functions are pinned out. The remaining 8 pins function  
as GPIO/EMIFA based on AEM setting.  
The PINMUX0 field VENCSEL alone controls  
the muxing of these 9 pins.  
5:4  
VENCSEL  
COUT7/EM_D[7]/GP[21]  
COUT6/EM_D[6]/GP[20]  
COUT5/EM_D[5]/GP[19]  
COUT4/EM_D[4]/GP[18]  
COUT3/EM_D[3]/GP[17]  
COUT2/EM_D[2]/GP[16]  
COUT1/EM_D[1]/GP[15]  
COUT0/EM_D[0]/GP[14  
10 = 16-bit VENC supported.  
These pins function as VENC VCLK, YOUT[7:0], and COUT[7:0].  
Applicable only if AEM = 0 (000b), 3 (011b), 4 (100b).  
11 = Reserved  
The combination of PINMUX fields VENCSEL  
and AEM controls the muxing of these 8 pins.(1)  
Reserved. For proper device operation, the user should only write "0" to this bit  
(default).  
3
RSV  
(1) For the full set of valid configurations of these pins, see Section 3.7.3.13.7, EMIFA/VPSS Block Pin-By-Pin Multiplexing Summary.  
Submit Documentation Feedback  
Device Configurations  
99  
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
Table 3-19. PINMUX0 Register Bit Descriptions (continued)  
Bit  
Field Name  
Description  
Pins Controlled  
Sub-Block 0  
EM_R/W/GP[35]  
EM_A[21]/GP[34]  
EM_A[13]/AD25/EM_D[0]/GP[51]  
EM_A[14]/AD27/EM_D[1]/GP[50]  
EM_A[15]/AD29/EM_D[2]/GP[49]  
EM_A[16]/PGNT/EM_D[3]/GP[48]  
EM_A[17]/AD31/EM_D[4]/GP[47]  
EM_A[18]/PRST/EM_D[5]/GP[46]  
EM_A[19]/PREQ/EM_D[6]/GP[45]  
EM_A[20]/PINTA/EM_D[7]/GP[44]  
EMIFA Pinout Modes  
This field does not affect the actual EMIFA operation. It only determines what  
multiplexed pins in the EMIFA/VPSS Block serves as EMIFA pins.  
Sub-Block 1  
000b = No EMIFA Mode.  
COUT7/EM_D[7]/GP[21]  
COUT6/EM_D[6]/GP[20]  
COUT5/EM_D[5]/GP[19]  
COUT4/EM_D[4]/GP[18]  
COUT3/EM_D[3]/GP[17]  
COUT2/EM_D[2]/GP[16]  
COUT1/EM_D[1]/GP[15]  
COUT0/EM_D[0]/GP[14]  
G0/EM_CS2/GP[12]  
None of the multiplexed pins in the EMIFA/VPSS Block serves as EMIFA pins.  
001b = 8-bit EMIFA (Async) Pinout Mode 1.  
(16M-Byte address reach per Chip Select Space).  
Pinout allows up to a maximum of these functions from EMIFA/VPSS Block: 8-bit  
EMIFA (Async or NAND) + 8-bit VENC (VPBE)  
010b = Reserved.  
2:0  
AEM(1)  
011b = 8-bit EMIFA (Async) Pinout Mode 3.  
(32K-Byte reach per Chip Select Space).  
Pinout allows up to a maximum of these functions from EMIFA/VPSS Block: 8-bit  
EMIFA (Async or NAND) + 16-bit VENC (VPBE)  
B0/LCD_FIELD/EM_A[3]/GP[11]  
R0/EM_A[4]/GP[10]/(AEAW2/PLLMS2)  
G1/EM_A[1]/(ALE)/GP[9]/(AEAW1/PLLMS1)  
B1/EM_A[2]/(CLE)/GP[8]/(AEAW0/PLLMS0)  
R1/EM_A[0]/GP[7]/(AEM2)  
R2/EM_BA[0]/GP[6]/(AEM1)  
B2/EM_BA[1]/GP[5]/(AEM0)  
100b = 8-bit EMIFA (NAND) Pinout Mode 4.  
Pinout allows up to a maximum of these functions from EMIFA/VPSS Block: 8-bit  
EMIFA (NAND) + 16-bit VENC (VPBE)  
Sub-Block3  
101b = 8-bit EMIFA (NAND) Pinout Mode 5.  
Pinout allows up to a maximum of these functions from EMIFA/VPSS Block: 8-bit  
EMIFA (NAND) + 8-bit VENC (VPBE)  
EM_A[12]/PCBE3/GP[89]  
EM_A[11]/AD24/GP[90]  
EM_A[10]/AD23/GP[91]  
EM_A[9]/PIDSEL/GP[92]  
EM_A[8]/AD21/GP[93]  
EM_A[7]/AD22/GP[94]  
EM_A[6]/AD20/GP[95]  
EM_A[5]/AD19/GP[96]  
110b through 111b = Reserved  
The pin mux for these pins are controlled by a  
combination of AEM and other PINMUX0 fields,  
including AEAW, PCIEN, VENCSEL, and  
(2)  
RGBSEL.  
(1) The AEM default value is latched at reset from AEM[2:0] configuration inputs. The latched values are also shown at BOOTCFG.DAEM  
(read-only).  
(2) For the full set of valid configurations of these pins, see Section 3.7.3.13.7, EMIFA/VPSS Block Pin-By-Pin Multiplexing Summary.  
100  
Device Configurations  
Submit Documentation Feedback  
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
3.7.2.2 PINMUX1 Register Description  
The Pin Multiplexing 1 Register (PINMUX1) controls the pin multiplexing of all Pin Mux Blocks. The  
PINMUX1 register format is shown in Figure 3-13 and the bit field descriptions are given in Table 3-20.  
Some muxed pins are controlled by more than one PINMUX bit field. For the combination of PINMUX bit  
fields that control each muxed pin, see Section 3.7.3.1, Multiplexed Pins on DM6433.  
31  
15  
26  
25  
24  
23  
22  
21  
20  
19  
18  
17  
16  
RESERVED  
SPBK1  
SPBK0  
TIM1BK  
R/W-00  
RSV  
TIM0BK  
R/W-00  
R/W-0000 00  
R/W-00  
R/W-00  
R/W-00  
14  
13  
12  
11  
10  
9
8
7
6
5
4
3
2
1
0
PWM1B  
K
CKOBK  
RSV  
UR0FCBK  
RSV  
UR0DBK  
RSV  
HOSTBK  
RESERVED  
R/W-000  
PCIEN  
R-P  
R/W-01  
R/W-0  
R/W-0  
R/W-00  
R/W-0  
R/W-0  
R/W-0  
R/W-000  
LEGEND: R/W = Read/Write; R = Read only; P = specified pin state; -n = value after reset  
(1) For proper DM6433 device operation, always write a value of "0" to all RESERVED/RSV bits.  
Figure 3-13. PINMUX1 Register— 0x01C4 0004 (1)  
Table 3-20. PINMUX1 Register Bit Descriptions  
Bit  
Field Name  
Description  
Pins Controlled  
Reserved. For proper device operation, the user should only write "0" to this bit  
(default).  
31:26  
RESERVED  
Serial Port Sub-Block 1 Pin Select.  
Selects the function of the multiplexed pins in the Serial Port Sub-Block 1.  
Serial Port Sub-Block 1:  
AXR0[0]/GP[105]  
ACLKX0/GP[106]  
AFSX0/GP[107]  
00 = GPIO Mode (default).  
Pins function as GPIO (GP[110:105]).  
25:24  
SPBK1  
01 = Reserved.  
AHCLKX0/GP[108]  
AMUTEIN0/GP[109]  
AMUTE0/GP[110]  
10 = McASP0 Transmit and 1 serializer.  
Pins function as McASP0: AXR0[0], ACLKX0, AFSX0, AHCLKX0, AMUTEIN0,  
and AMUTE0.  
11 = Reserved.  
Serial Port Sub-Block 0 Pin Select.  
Selects the function of the multiplexed pins in the Serial Port Sub-Block 0.  
00 = GPIO Mode (default).  
Pins function as GPIO (GP[104:99]).  
Serial Port Sub-Block 0:  
ACLKR0/CLKX0/GP[99]  
AFSR0/DR0/GP[100]  
AHCLKR0/CLKR0/GP[101]  
AXR0[3]/FSR0/GP[102]  
AXR0[2]/FSX0/GP[103]  
AXR0[1]/DX0/GP[104]  
01 = McBSP0 Mode.  
Pins function as McBSP0 CLKX0, FSX0, DX0, CLKR0, FSR0, and DR0.  
23:22  
SPBK0  
10 = McASP0 Receive and 3 serializers.  
Pins function as McASP0 ACLKR0, AFSR0, AHCLKR0, AXR0_3, AXR0_2, and  
AXR0_1.  
11 = Reserved  
Timer1 Block Pin Select.  
Selects the function of the multiplexed pins in theTimer1 Block.  
00 = GPIO Mode (default).  
Pins function as GPIO (GP[56:55]).  
Timer1 Block:  
TINP1L/GP[56]  
TOUT1L/GP[55]  
21:20  
19:18  
TIM1BK  
01 = Timer1 Mode.  
Pins function as Timer1 TINP1L and TOUT1L.  
10 = Reserved.  
11 = Reserved.  
Reserved. For proper device operation, the user should only write "0" to this bit  
(default).  
RSV  
Submit Documentation Feedback  
Device Configurations  
101  
 
 
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
Table 3-20. PINMUX1 Register Bit Descriptions (continued)  
Bit  
Field Name  
Description  
Pins Controlled  
Timer0 Block Pin Select.  
Selects the function of the multiplexed pins in the Timer0 Block.  
00 = GPIO Mode (default).  
Pins function as GPIO (GP[98:97]).  
Timer0 Block:  
TINP0L/GP[98]  
CLKS0/TOUT0L/GP[97]  
01 = Timer0 Mode.  
Pins function as Timer0 TINP0L and TOUT0L.  
17:16  
TIM0BK  
10 = Reserved.  
11 = McBSP0 External Clock Source + Timer0 Input Mode.  
Pins function as McBSP0 external clock source CLKS0, and Timer0 input  
TINP0L.  
CLKOUT Block Pin Select.  
Selects the function of the multiplexed pins in the CLKOUT Block.  
00 = GPIO Mode.  
Pin functions as GPIO (GP[84]).  
CLKOUT Block:  
CLKOUT0/PWM2/GP[84]  
15:14  
CKOBK  
01 = CLKOUT Mode (default).  
Pin functions as device clock output CLKOUT0, sourced from PLLC1 OBSCLK.  
10 = PWM2 Mode.  
Pin functions as PWM2.  
11 = Reserved  
Reserved. For proper device operation, the user should only write "0" to this bit  
(default).  
13  
12  
RSV  
PWM1 Block Pin Select.  
Selects the function of the multiplexed pins in the PWM1 Block.  
0 = GPIO Mode (default).  
Pin functions as GPIO (GP[4]).  
PWM1 Block:  
GP[4]/PWM1  
PWM1BK  
1 = PWM1 Mode.  
Pin functions as PWM1.  
UART0 Flow Control Block Pin Select.  
Selects the function of the multiplexed pins in the UART0 Flow Control Block.  
00 = GPIO Mode (default).  
Pins function as GPIO (GP[88:87]).  
UART0 Flow Control Block:  
UCTS0/GP[87]  
11:10  
UR0FCBK  
01 = UART0 Flow Control Mode.  
Pins function as UART0 Flow Control UCTS0 and URTS0.  
URTS0/PWM0/GP[88]  
10 = PWM0 + GPIO Mode.  
Pins function as PWM0 and GPIO (GP[87]).  
11 = Reserved  
Reserved. For proper device operation, the user should only write "0" to this bit  
(default).  
9
8
RSV  
UART0 Data Block Pin Select.  
Selects the function of the multiplexed pins in the UART0 Data Block.  
UART0 Data Block:  
URXD0/GP[85]  
UTXD0/GP[86]  
0 = GPIO Mode (default).  
Pins function as GPIO (GP[86:85]).  
UR0DBK  
1 = UART0 Data Mode.  
Pins function as UART0 data URXD0 and UTXD0.  
102  
Device Configurations  
Submit Documentation Feedback  
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
Table 3-20. PINMUX1 Register Bit Descriptions (continued)  
Bit  
Field Name  
Description  
Pins Controlled  
Reserved. For proper device operation, the user should only write "0" to this bit  
(default).  
7
RSV  
Host Block:  
VLYNQ_CLOCK/PCICLK/GP[57]  
HD0/VLYNQ_SCRUN/AD18/GP[58]  
HD1/VLYNQ_RXD0/AD16/GP[59]  
HD2/VLYNQ_RXD1/AD17/GP[60]  
HD3/VLYNQ_RXD2/PCBE2/GP[61]  
HD4/VLYNQ_RXD3/PFRAME/GP[62]  
HD5/VLYNQ_TXD0/PIRDY/GP[63]  
HD6/VLYNQ_TXD1/PTRDY/GP[64]  
HD7/VLYNQ_TXD2/PDEVSEL/GP[65]  
HD8/VLYNQ_TXD3/PPERR/GP[66]  
HD9/MCOL/PSTOP/GP[67]  
Host Block Pin Select.  
If EMAC opertaion is desired, EMAC must be placed in reset before  
programminng PINMUX1 HOSTBK to select EMAC pins.  
PCIEN = 0 and HOSTBK = 000: GPIO Mode (default if PCIEN = 0).  
Pins function as GPIO (GP[83:57]).  
PCIEN = 0 and HOSTBK = 001: HPI + 1 GPIO Mode.  
Pins function as HPI and GPIO (GP[57]).  
PCIEN = 0 and HOSTBK = 010: VLYNQ + 17 GPIO Mode.  
Pins function as VLYNQ (VLYNQ_CLOCK, VLYNQ_SCRUN, VLYNQ_RXD[3:0], HD10/MCRS/PSERR/GP[68]  
VLYNQ_TXD[3:0]), and GP[83:67].  
HD11/MTXD3/PCBE1/GP[69]  
HD12/MTXD2/PPAR/GP[70]  
HD13/MTXD1/AD14/GP[71]  
HD14/MTXD0/AD15/GP[72]  
HD15/MTXCLK/AD12/GP[73]  
HHWIL/MRXDV/AD13/GP[74]  
HCNTL1/MTXEN/AD11/GP[75]  
HCNTL0/MRXER/AD10/GP[76]  
HR/W/MRXCLK/AD8/GP[77]  
HDS2/MRXD0/AD9/GP[78]  
HDS1/MRXD1/AD7/GP[79]  
HRDY/MRXD2/PCBE0/GP[80]  
HCS/MDCLK/AD5/GP[81]  
HINT/MRXD3/AD6/GP[82]  
HAS/MDIO/AD3/GP[83]  
PCIEN = 0 and HOSTBK = 011: VLYNQ + MII + MDIO Mode.  
Pins function as VLYNQ (VLYNQ_CLOCK, VLYNQ_SCRUN, VLYNQ_RXD[3:0],  
VLYNQ_TXD[3:0]), MII (TXCLK, CRS, COL, TXD[3:0], RXVD, TXEN, RXER,  
RXCLK, RXD[3:0]), and MDIO (MDIO, MDC).  
6:4  
HOSTBK  
PCIEN = 0 and HOSTBK = 100: MII + MDIO +10 GPIO Mode.  
Pins function as MII (TXCLK, CRS, COL, TXD[3:0], RXVD, TXEN, RXER,  
RXCLK, RXD[3:0]), MDIO (MDIO, MDC), and GP[66:57].  
PCIEN = 1 and HOSTBK = 000: PCI Mode (default if PCIEN = 1).  
Pins function as PCI pins: PCICLK, PCBE2, PCBE1, PCBE0, PFRAME,  
PIDRDY, PTRDY, PDEVSEL, PPER, PSTOP, PSERR, PPAR, AD[18:5], and  
AD03.  
All other PCIEN and HOSTBK combinations reserved.  
The combination of PINMUX1 fields PCIEN and  
HOSTBK select the function of these 27 pins.  
Reserved. For proper device operation, the user should only write "0" to this bit  
(default).  
3:1  
RESERVED  
Submit Documentation Feedback  
Device Configurations  
103  
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
Table 3-20. PINMUX1 Register Bit Descriptions (continued)  
Bit  
Field Name  
Description  
Pins Controlled  
Host Block:  
See list of 27 pins in HOSTBK bit field  
description  
PCI Data Block:  
AD26  
AD28  
AD30  
GPIO Block:  
AD0/GP[0]  
AD1/GP[1]  
AD2/GP[2]  
AD4/GP[3]  
PCI Enable.  
The PINMUX1.PCIEN reflects the state of the PCIEN pin. PINMUX1.PCIEN is  
read only and cannot be modified by software. For proper device  
operation, the user must hold the desired setting at the PCIEN pin  
throughout device operation.  
EMIFA/VPSS Sub-Block 0*:  
EM_A[13]/AD25/EM_D[0]/GP[51]  
EM_A[14]/AD27/EM_D[1]/GP[50]  
EM_A[15]/AD29/EM_D[2]/GP[49]  
EM_A[16]/PGNT/EM_D[3]/GP[48]  
EM_A[17]/AD31/EM_D[4]/GP[47]  
PCIEN = 0: No PCI supported. Internal pullup/pulldown (IPU/IPD) on these pins  
are enabled.  
For PCI multiplexed pins in the GPIO Block, when PCIEN = 0, the pins function EM_A[18]/PRST/EM_D[5]/GP[46]  
as GPIO (GP[3:0]). EM_A[19]/PREQ/EM_D[6]/GP[45]  
For PCI multiplexed pins in the Host Block, refer to PINMUX1.HOSTBK field for EM_A[20]/PINTA/EM_D[7]/GP[44]  
the actual pin functions.  
0
PCIEN  
EMIFA/VPSS Sub-Block 3*:  
EM_A[12]/PCBE3/GP[89]  
EM_A[11]/AD24/GP[90]  
EM_A[10]/AD23/GP[91]  
For PCI multiplexed pins in the EMIFA/VPSS Block, refer to PINMUX0.AEM and  
AEAW fields for the actual pin functions.  
For PCI pins in the PCI Data Block, when PCIEN = 0, the pins have no function  
and should be left unconnected.  
EM_A[9]/PIDSEL/GP[92]  
PCIEN = 1: PCI supported. Internal pullup/pulldown (IPU/IPD) on all PCI pins  
are disabled.  
EM_A[8]/AD21/GP[93]  
EM_A[7]/AD22/GP[94]  
EM_A[6]/AD20/GP[95]  
EM_A[5]/AD19/GP[96]  
All pins function as PCI pins.  
Applicable only for PINMUX0.AEM = 000b or 101b.  
The pin mux for the EMIFA/VPSS Sub-Block 0  
and EMIFA/VPSS Sub-Block 3 pins are  
controlled by a combination of PCIEN and other  
PINMUX0/1 fields, including HOSTBK, AEM,  
and AEAW. See Section 3.7.3.13.7,  
EMIFA/VPSS Block Pin-By-Pin Multiplexing  
Summary, for the full set of valid configurations  
of EMIFA/VPSS Block pins.  
For the full set of valid configurations of Host  
Block pins, see Section 3.7.3.3, Host Block  
Muxing.  
104  
Device Configurations  
Submit Documentation Feedback  
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
3.7.3 Pin Multiplexing Details  
This section discusses how to program each Pin Mux Block to select the desired peripheral functions.  
The following steps can be used to determine pin muxing suitable for the application:  
1. Understand the major configuration choices available for the specific application.  
a. Device Major Configuration Choices: Figure 3-11 shown in Section 3.7, Multiplexed Pin  
Configurations, provides a high-level view of the device pin muxing and can be used to determine  
the possible mix of peripheral options for a specific application.  
b. EMIFA/VPSS Block Major Configuration Choices: The EMIFA/VPSS block features extensive pin  
multiplexing to accommodate a variety of applications. In addition to Figure 3-11, Section 3.7.3.13,  
EMIFA/VPSS Block Muxing, provides more details on the Major Configuration choices for this  
block.  
2. See Section 3.7.3.1, Multiplexed Pins on DM6433, for a summary of all the multiplexed pins on this  
device and the pin mux group they belong to.  
3. Refer to the individual pin mux sections (Section 3.7.3.3, Host Block Muxing to Section 3.7.3.13,  
EMIFA/VPSS Block Muxing) for pin muxing details for a specific pin mux block.  
a. For peripherals that span multiple pin mux blocks, the user must select the appropriate pins for that  
peripheral in all relevant pin mux blocks. For more details, see Section 3.7.3.2, Peripherals  
Spanning Multiple Pin Mux Blocks .  
For details on PINMUX0 and PINMUX1 registers, see Section 3.7.2.  
3.7.3.1 Multiplexed Pins on DM6433  
Table 3-21 summarizes all of the multiplexed pins on DM6433, the pin mux group for each pin, and the  
PINMUX register fields that control the pin. For pin mux details, see the specific pin mux group section  
(Section 3.7.3.3, Host Block Muxing to Section 3.7.3.13, EMIFA/VPSS Block Muxing). For a description of  
the PINMUX register fields, see Section 3.7.2.  
Table 3-21. Multiplexed Pins on DM6433  
SIGNAL  
PINMUX DESCRIPTION  
ZWT  
NO.  
ZDU  
NO.  
NAME  
PINMUX GROUP  
CONTROLLED BY PINMUX BIT FIELDS  
GP[54]  
GP[53]  
A14  
A13  
A18  
A17  
EMIFA/VPSS Sub-Block 0  
EMIFA/VPSS Sub-Block 0  
GP[54:52] are standalone pins. They are  
not muxed with any other functions. They  
are included in this table because they  
are grouped in the EMIFA/VPSS  
Sub-Block 0.  
GP[52]  
A15  
B10  
A10  
B11  
C11  
A11  
D11  
B12  
C12  
A19  
A12  
A13  
C13  
B13  
B14  
A14  
C14  
C15  
EMIFA/VPSS Sub-Block 0  
EMIFA/VPSS Sub-Block 0  
EMIFA/VPSS Sub-Block 0  
EMIFA/VPSS Sub-Block 0  
EMIFA/VPSS Sub-Block 0  
EMIFA/VPSS Sub-Block 0  
EMIFA/VPSS Sub-Block 0  
EMIFA/VPSS Sub-Block 0  
EMIFA/VPSS Sub-Block 0  
EM_A[13]/AD25/  
EM_D[0]/GP[51]  
PCIEN, AEM, AEAW  
PCIEN, AEM, AEAW  
PCIEN, AEM, AEAW  
PCIEN, AEM, AEAW  
PCIEN, AEM, AEAW  
PCIEN, AEM, AEAW  
PCIEN, AEM, AEAW  
PCIEN, AEM, AEAW  
EM_A[14]/AD27/  
EM_D[1]/GP[50]  
EM_A[15]/AD29/  
EM_D[2]/GP[49]  
EM_A[16]/PGNT/  
EM_D[3]/GP[48]  
EM_A[17]/AD31/  
EM_D[4]/GP[47]  
EM_A[18]/PRST/  
EM_D[5]/GP[46]  
EM_A[19]/PREQ/  
EM_D[6]/GP[45]  
EM_A[20]/PINTA/  
EM_D[7]/GP[44]  
Submit Documentation Feedback  
Device Configurations  
105  
 
 
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
Table 3-21. Multiplexed Pins on DM6433 (continued)  
SIGNAL  
PINMUX DESCRIPTION  
ZWT  
NO.  
ZDU  
NO.  
NAME  
PINMUX GROUP  
CONTROLLED BY PINMUX BIT FIELDS  
GP[43]  
A12  
B13  
C13  
D14  
B14  
C14  
B15  
C15  
D13  
D12  
F19  
E19  
D19  
G19  
H15  
H16  
H17  
G17  
G16  
G15  
F15  
F18  
F17  
F16  
E17  
E18  
E16  
D17  
D18  
D16  
C18  
C19  
B18  
A17  
A15  
B15  
B16  
C18  
A16  
B17  
B18  
B19  
C17  
C16  
J22  
EMIFA/VPSS Sub-Block 0  
EMIFA/VPSS Sub-Block 0  
EMIFA/VPSS Sub-Block 0  
EMIFA/VPSS Sub-Block 0  
EMIFA/VPSS Sub-Block 0  
EMIFA/VPSS Sub-Block 0  
EMIFA/VPSS Sub-Block 0  
EMIFA/VPSS Sub-Block 0  
EMIFA/VPSS Sub-Block 0  
EMIFA/VPSS Sub-Block 0  
EMIFA/VPSS Sub-Block 1  
EMIFA/VPSS Sub-Block 1  
EMIFA/VPSS Sub-Block 1  
EMIFA/VPSS Sub-Block 1  
EMIFA/VPSS Sub-Block 1  
EMIFA/VPSS Sub-Block 1  
EMIFA/VPSS Sub-Block 1  
EMIFA/VPSS Sub-Block 1  
EMIFA/VPSS Sub-Block 1  
EMIFA/VPSS Sub-Block 1  
EMIFA/VPSS Sub-Block 1  
EMIFA/VPSS Sub-Block 1  
EMIFA/VPSS Sub-Block 1  
EMIFA/VPSS Sub-Block 1  
EMIFA/VPSS Sub-Block 1  
EMIFA/VPSS Sub-Block 1  
EMIFA/VPSS Sub-Block 1  
EMIFA/VPSS Sub-Block 1  
EMIFA/VPSS Sub-Block 1  
EMIFA/VPSS Sub-Block 1  
EMIFA/VPSS Sub-Block 1  
EMIFA/VPSS Sub-Block 1  
EMIFA/VPSS Sub-Block 1  
EMIFA/VPSS Sub-Block 1  
GP[42]  
GP[41]  
GP[43:36] are standalone pins. They are  
not muxed with any other functions. They  
are included in this table because they  
are grouped in the EMIFA/VPSS  
Sub-Block 0.  
GP[40]  
GP[39]  
GP[38]  
GP[37]  
GP[36]  
EM_R/W/GP[35]  
EM_A[21]/GP[34]  
AEM  
AEM  
HSYNC/EM_CS5/GP[33]  
VSYNC/EM_CS4/GP[32]  
VCLK/GP[31]  
CS5SEL  
H22  
G22  
K22  
K21  
J21  
CS4SEL  
VENCSEL  
VPBECLK/GP[30]  
YOUT7/GP[29]  
VPBECKEN  
VENCSEL  
YOUT6/GP[28]  
VENCSEL  
YOUT5/GP[27]  
L19  
K19  
H21  
L20  
K20  
J20  
VENCSEL  
YOUT4/GP[26]/(FASTBOOT)  
YOUT3/GP[25]/(BOOTMODE3)  
YOUT2/GP[24]/(BOOTMODE2)  
YOUT1/GP[23]/(BOOTMODE1)  
YOUT0/GP[22]/(BOOTMODE0)  
COUT7/EM_D[7]/GP[21]  
VENCSEL  
VENCSEL  
VENCSEL  
VENCSEL  
VENCSEL  
H20  
F21  
F22  
G21  
F20  
E22  
G20  
E21  
D22  
C22  
D21  
B21  
AEM, VENCSEL  
AEM, VENCSEL  
AEM, VENCSEL  
AEM, VENCSEL  
AEM, VENCSEL  
AEM, VENCSEL  
AEM, VENCSEL  
AEM, VENCSEL  
CS3SEL  
COUT6/EM_D[6]/GP[20]  
COUT5/EM_D[5]/GP[19]  
COUT4/EM_D[4]/GP[18]  
COUT3/EM_D[3]/GP[17]  
COUT2/EM_D[2]/GP[16]  
COUT1/EM_D[1]/GP[15]  
COUT0/EM_D[0]/GP[14]  
LCD_OE/EM_CS3/GP[13]  
G0/EM_CS2/GP[12]  
AEM, RGBSEL  
AEM, RGBSEL  
AEM, RGBSEL  
B0/LCD_FIELD/EM_A[3]/GP[11]  
R0/EM_A[4]/GP[10]/(AEAW2/PLLMS2)  
G1/EM_A[1]/(ALE)/GP[9]/  
(AEAW1/PLLMS1)  
A16  
B16  
B20  
A20  
EMIFA/VPSS Sub-Block 1  
EMIFA/VPSS Sub-Block 1  
AEM, RGBSEL  
AEM, RGBSEL  
B1/EM_A[2]/(CLE)/GP[8]/  
(AEAW0/PLLMS0)  
R1/EM_A[0]/GP[7]/(AEM2)  
R2/EM_BA[0]/GP[6]/(AEM1)  
B2/EM_BA[1]/GP[5]/(AEM0)  
EM_A[12]/PCBE3/GP[89]  
EM_A[11]/AD24/GP[90]  
EM_A[10]/AD23/GP[91]  
EM_A[9]/PIDSEL/GP[92]  
EM_A[8]/AD21/GP[93]  
B17  
C17  
C16  
D10  
C10  
A9  
C21  
E20  
C20  
B12  
C12  
B11  
C11  
A11  
EMIFA/VPSS Sub-Block 1  
EMIFA/VPSS Sub-Block 1  
EMIFA/VPSS Sub-Block 1  
EMIFA/VPSS Sub-Block 3  
EMIFA/VPSS Sub-Block 3  
EMIFA/VPSS Sub-Block 3  
EMIFA/VPSS Sub-Block 3  
EMIFA/VPSS Sub-Block 3  
AEM, RGBSEL  
AEM, RGBSEL  
AEM, RGBSEL  
PCIEN, AEM  
PCIEN, AEM  
PCIEN, AEM  
PCIEN, AEM  
PCIEN, AEM  
D9  
B9  
106  
Device Configurations  
Submit Documentation Feedback  
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
Table 3-21. Multiplexed Pins on DM6433 (continued)  
SIGNAL  
PINMUX DESCRIPTION  
ZWT  
NO.  
ZDU  
NO.  
NAME  
PINMUX GROUP  
CONTROLLED BY PINMUX BIT FIELDS  
EM_A[7]/AD22/GP[94]  
EM_A[6]/AD20/GP[95]  
EM_A[5]/AD19/GP[96]  
C9  
D8  
B8  
A7  
C8  
D7  
A8  
B7  
C7  
A6  
D6  
B6  
A5  
C6  
B5  
C5  
D5  
B4  
D4  
A4  
C4  
D3  
B3  
A3  
C3  
B2  
D2  
C1  
C2  
D1  
E1  
E2  
E3  
E4  
F3  
H1  
H4  
J2  
C10  
B10  
A10  
A8  
B9  
C9  
A9  
B8  
C8  
A7  
C7  
B7  
A6  
C6  
B6  
A5  
C5  
B4  
B5  
A4  
D3  
C4  
B2  
A3  
C2  
B3  
C3  
D1  
D2  
C1  
E1  
E2  
F1  
F2  
F3  
J1  
EMIFA/VPSS Sub-Block 3  
EMIFA/VPSS Sub-Block 3  
EMIFA/VPSS Sub-Block 3  
Host Block  
PCIEN, AEM  
PCIEN, AEM  
PCIEN, AEM  
PCIEN, HOSTBK  
PCIEN, HOSTBK  
PCIEN, HOSTBK  
PCIEN, HOSTBK  
PCIEN, HOSTBK  
PCIEN, HOSTBK  
PCIEN, HOSTBK  
PCIEN, HOSTBK  
PCIEN, HOSTBK  
PCIEN, HOSTBK  
PCIEN, HOSTBK  
PCIEN, HOSTBK  
PCIEN, HOSTBK  
PCIEN, HOSTBK  
PCIEN, HOSTBK  
PCIEN, HOSTBK  
PCIEN, HOSTBK  
PCIEN, HOSTBK  
PCIEN, HOSTBK  
PCIEN, HOSTBK  
PCIEN, HOSTBK  
PCIEN, HOSTBK  
PCIEN, HOSTBK  
PCIEN, HOSTBK  
PCIEN, HOSTBK  
PCIEN, HOSTBK  
PCIEN, HOSTBK  
PCIEN  
VLYNQ_CLOCK/PCICLK/GP[57]  
HD0/VLYNQ_SCRUN/AD18/GP[58]  
HD1/VLYNQ_RXD0/AD16/GP[59]  
HD2/VLYNQ_RXD1/AD17/GP[60]  
HD3/VLYNQ_RXD2/PCBE2/GP[61]  
HD4/VLYNQ_RXD3/PFRAME/GP[62]  
HD5/VLYNQ_TXD0/PIRDY/GP[63]  
HD6/VLYNQ_TXD1/PTRDY/GP[64]  
HD7/VLYNQ_TXD2/PDEVSEL/GP[65]  
HD8/VLYNQ_TXD3/PPERR/GP[66]  
HD9/MCOL/PSTOP/GP[67]  
HD10/MCRS/PSERR/GP[68]  
HD11/MTXD3/PCBE1/GP[69]  
HD12/MTXD2/PPAR/GP[70]  
HD13/MTXD1/AD14/GP[71]  
HD14/MTXD0/AD15/GP[72]  
HD15/MTXCLK/AD12/GP[73]  
HHWIL/MRXDV/AD13/GP[74]  
HCNTL1/MTXEN/AD11/GP[75]  
HCNTL0/MRXER/AD10/GP[76]  
HR/W/MRXCLK/AD8/GP[77]  
HDS2/MRXD0/AD9/GP[78]  
HDS1/MRXD1/AD7/GP[79]  
HRDY/MRXD2/PCBE0/GP[80]  
HCS/MDCLK/AD5/GP[81]  
HINT/MRXD3/AD6/GP[82]  
HAS/MDIO/AD3/GP[83]  
Host Block  
Host Block  
Host Block  
Host Block  
Host Block  
Host Block  
Host Block  
Host Block  
Host Block  
Host Block  
Host Block  
Host Block  
Host Block  
Host Block  
Host Block  
Host Block  
Host Block  
Host Block  
Host Block  
Host Block  
Host Block  
Host Block  
Host Block  
Host Block  
Host Block  
Host Block  
AD0/GP[0]  
GPIO Block  
AD1/GP[1]  
GPIO Block  
PCIEN  
AD2/GP[2]  
GPIO Block  
PCIEN  
AD4/GP[3]  
GPIO Block  
PCIEN  
GP[4]/PWM1  
PWM1Block  
PWM1BK  
ACLKR0/CLKX0/GP[99]  
AFSR0/DR0/GP[100]  
Serial Port Sub-Block 0  
Serial Port Sub-Block 0  
Serial Port Sub-Block 0  
Serial Port Sub-Block 0  
Serial Port Sub-Block 0  
Serial Port Sub-Block 0  
Serial Port Sub-Block 1  
Serial Port Sub-Block 1  
Serial Port Sub-Block 1  
Serial Port Sub-Block 1  
SPBK0  
K3  
K1  
J3  
SPBK0  
AHCLKR0/CLKR0/GP[101]  
AXR0[3]/FSR0/GP[102]  
SPBK0  
G4  
H3  
J3  
SPBK0  
AXR0[2]/FSX0/GP[103]  
J2  
SPBK0  
AXR0[1]/DX0/GP[104]  
K2  
H2  
G1  
G2  
H1  
SPBK0  
AXR0[0]/GP[105]  
H2  
F1  
G2  
G1  
SPBK1  
ACLKX0/GP[106]  
SPBK1  
AFSX0/GP[107]  
SPBK1  
AHCLKX0/GP[108]  
SPBK1  
Submit Documentation Feedback  
Device Configurations  
107  
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
Table 3-21. Multiplexed Pins on DM6433 (continued)  
SIGNAL  
PINMUX DESCRIPTION  
ZWT  
NO.  
ZDU  
NO.  
NAME  
AMUTEIN0/GP[109]  
PINMUX GROUP  
CONTROLLED BY PINMUX BIT FIELDS  
F2  
G3  
L4  
K4  
K2  
J4  
G3  
H3  
P3  
N3  
L2  
Serial Port Sub-Block 1  
Serial Port Sub-Block 1  
Timer 1 Block  
SPBK1  
AMUTE0/GP[110]  
TINP1L/GP[56]  
SPBK1  
TIM1BK  
TIM1BK  
TIM0BK  
TIM0BK  
UR0DBK  
UR0DBK  
UR0FCBK  
UR0FCBK  
CKOBK  
TOUT1L/GP[55]  
Timer 1 Block  
TINP0L/GP[98]  
Timer 0 Block  
CLKS0/TOUT0L/GP[97]  
URXD0/GP[85]  
L3  
Timer 0 Block  
L2  
K3  
L1  
L3  
M1  
M2  
N1  
P1  
M3  
R1  
UART0 Data Block  
UART0 Data Block  
UART0 Flow Control Block  
UART0 Flow Control Block  
CLKOUT Block  
UTXD0/GP[86]  
UCTS0/GP[87]  
URTS0/PWM0/GP[88]  
CLKOUT0/PWM2/GP[84]  
Note: PINMUX groups EMIFA/VPSS Sub-Block 2 and PCI Data Block are not shown in the above table  
because there is no actual pin multiplexing in those blocks. But these two blocks are still considered "pin  
mux blocks" because they contain some of the pins necessary for EMIFA and PCI, respectively. The pins  
in these blocks are as follows:  
EMIFA/VPSS Sub-Block 2  
EM_WAIT/(RDY/BSY)  
EM_OE  
EM_WE  
3.7.3.2 Peripherals Spanning Multiple Pin Mux Blocks  
Some peripherals span multiple Pin Mux Blocks. To use these peripherals, they must be selected in all of  
the relevant Pin Mux Blocks. The following is the list of peripherals that span multiple Pin Mux Blocks:  
PCI: PCI pins span across the Host Block, EMIFA/VPSS Block Sub-Block 0 and Sub-Block 3, PCI  
Data Block, and GPIO Block. To select PCI pins, program PINMUX registers as follows:  
Host Block: PCIEN = 1, HOSTBK = 000  
EMIFA/VPSS Block: Select either Major Configuration Option F or G. For more details on the  
PINMUX settings associated with Major Configuration Options F or G, see Section 3.7.3.13,  
EMIFA/VPSS BLock Muxing.  
PCI Data Block: PCIEN = 1  
GPIO Block: PCIEN = 1  
McBSP0: Six McBSP0 pins are located in the Serial Port Sub-Block 0, but the CLKS0 pin is muxed in  
the Timer0 Block. To select McBSP0 pins, program PINMUX registers as follows:  
Serial Port Sub-Block 0: SPBK0 = 01  
Timer0 Block: If CLKS0 pin is desired, program TIM0BK = 10 or 11.  
UART0: The two UART0 data pins are located in the UART0 Data Block, but the two UART0 flow  
control pins are located in the UART0 Flow Control Block. To select UART0, program PINMUX  
registers as follows:  
UART0 Data Block: UR0BK = 1  
UART0 Flow Control Block: If flow control pins are desired, program UR0FCBK = 01.  
108  
Device Configurations  
Submit Documentation Feedback  
 
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
3.7.3.3 Host Block Muxing  
This block of 27 pins consists of PCI, HPI, VLYNQ, EMAC, MDIO, and GPIO muxed pins. The following  
register fields select the pin functions in the Host Block:  
PINMUX1.PCIEN  
PINMUX1.HOSTBK  
Table 3-22 summarizes the 27 pins in the Host Block, the multiplexed function on each pin, and the  
PINMUX configurations to select the corresponding function.  
Submit Documentation Feedback  
Device Configurations  
109  
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
Table 3-22. Host Block Muxed Pins Selection  
MULTIPLEXED FUNCTIONS  
SIGNAL NAME  
FUNCTION  
HPI  
EMAC/MDIO  
VLYNQ  
PCI  
GPIO  
SELECT  
FUNCTION  
SELECT  
FUNCTION  
SELECT  
FUNCTION  
SELECT  
FUNCTION  
SELECT  
PCIEN = 0,  
and  
HOSTBK = 000  
VLYNQ_CLOCK/PCICLK/GP[57]  
VLYNQ_CLOCK  
PCICLK  
GP[57]  
or  
HOSTBK = 001  
or  
HOSTBK = 100  
HD0/VLYNQ_SCRUN/AD18/GP[58]  
HD1/VLYNQ_RXD0/AD16/GP[59]  
HD2/VLYNQ_RXD1/AD17/GP[60]  
HD3/VLYNQ_RXD2/PCBE2/GP[61]  
HD4/VLYNQ_RXD3/PFRAME/GP[62]  
HD5/VLYNQ_TXD0/PIRDY/GP[63]  
HD6/VLYNQ_TXD1/PTRDY/GP[64]  
HD7/VLYNQ_TXD2/PDEVSEL/GP[65]  
HD8/VLYNQ_TXD3/PPERR/GP[66]  
HD9/MCOL/PSTOP/GP[67]  
HD0  
HD1  
VLYNQ_SCRUN  
AD18  
AD16  
GP[58]  
GP[59]  
GP[60]  
GP[61]  
GP[62]  
GP[63]  
GP[64]  
GP[65]  
GP[66]  
GP[67]  
GP[68]  
GP[69]  
GP[70]  
GP[71]  
GP[72]  
GP[73]  
GP[74]  
GP[75]  
GP[76]  
GP[77]  
GP[78]  
GP[79]  
GP[80]  
GP[81]  
GP[82]  
GP[83]  
PCIEN = 0,  
and  
HOSTBK = 010  
or  
VLYNQ_RXD0  
HD2  
VLYNQ_RXD1  
AD17  
PCIEN = 0,  
and  
HOSTBK = 000  
or  
HOSTBK = 011  
HD3  
VLYNQ_RXD2  
PCBE2  
PFRAME  
PIRDY  
PTRDY  
PDEVSEL  
PPERR  
PSTOP  
PSERR  
PCBE1  
PPAR  
AD14  
HD4  
VLYNQ_RXD3  
HD5  
VLYNQ_TXD0  
HOSTBK = 100  
HD6  
VLYNQ_TXD1  
HD7  
VLYNQ_TXD2  
HD8  
VLYNQ_TXD3  
HD9  
MCOL  
MCRS  
MTXD3  
MTXD2  
MTXD1  
MTXD0  
MTXCLK  
MRXDV  
MTXEN  
MRXER  
MRXCLK  
MRXD0  
MRXD1  
MRXD2  
MDCLK  
MRXD3  
MDIO  
PCIEN = 1,  
and  
HOSTBK = 000  
HD10/MCRS/PSERR/GP[68]  
HD11/MTXD3/PCBE1/GP[69]  
HD12/MTXD2/PPAR/GP[70]  
HD13/MTXD1/AD14/GP[71]  
HD10  
HD11  
HD12  
HD13  
HD14  
HD15  
HHWIL  
HCNTL1  
HCNTL0  
HR/W  
HDS2  
HDS1  
HRDY  
HCS  
PCIEN = 0,  
and  
HOSTBK = 001  
HD14/MTXD0/AD15/GP[72]  
AD15  
HD15/MTXCLK/AD12/GP[73]  
HHWIL/MRXDV/AD13/GP[74]  
HCNTL1/MTXEN/AD11/GP[75]  
HCNTL0/MRXER/AD10/GP[76]  
HR/W/MRXCLK/AD8/GP[77]  
HDS2/MRXD0/AD9/GP[78]  
AD12  
PCIEN = 0,  
and  
HOSTBK = 011  
or  
PCIEN = 0,  
and  
HOSTBK = 000  
or  
AD13  
AD11  
AD10  
HOSTBK = 100  
HOSTBK = 010  
AD8  
AD9  
HDS1/MRXD1/AD7/GP[79]  
AD7  
HRDY/MRXD2/PCBE0/GP[80]  
HCS/MDCLK/AD5/GP[81]  
PCBE0  
AD5  
HINT/MRXD3/AD6/GP[82]  
HINT  
HAS  
AD6  
HAS/MDIO/AD3/GP[83]  
AD3  
110  
Device Configurations  
Submit Documentation Feedback  
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
As discussed in Section 3.7.3.2, Peripherals Spanning Multiple Pin Mux Blocks, PCI pins span across the  
following Pin Mux Blocks: Host Block, EMIFA/VPSS Block Sub-Block 0 and Sub-Block 3, PCI Data Block,  
and GPIO Block. For proper PCI operation, PCI must be selected in all of these Pin Mux Blocks.  
Table 3-23 provides a different view of the Host Block pin muxing, showing the Host Block function based  
on PINMUX1 settings. The selection options are also shown pictorially in Figure 3-11.  
If EMAC operation is desired, EMAC must be placed in reset before programming PINMUX1.HOSTBK to  
select EMAC pins.  
Table 3-23. Host Block Function Selection  
PINMUX1 SETTING  
BLOCK FUNCTION  
RESULTING PIN FUNCTIONS  
PCIEN(1)  
HOSTBK  
PCI: PCICLK, PCBE2, PCBE1, PCBE0, PFRAME, PIDRDY, PTRDY,  
PDEVSEL, PSTOP, PPER, PSERR, PPAR, AD[18:05], AD[03]  
PCI  
1
000  
(Default if PCIEN = 1)  
Internal pullup/pulldown on all these pins are disabled.  
Reserved  
1
0
001 to 111  
000  
Reserved  
GPIO (27)  
(Default if PCIEN = 0)  
GPIO: GP[83:57]  
HPI: HHWIL, HCNTL[1:0], HR/W, HDS2, HDS1, HRDY, HCS, HINT, HAS,  
0
0
001  
010  
HPI + GPIO (1)  
HD[15:0]  
GPIO: GP[57]  
VLYNQ: VLYNQ_CLOCK, VLYNQ_SCRUN, VLYNQ_RXD[3:0],  
VLYNQ_TXD[3:0]  
VLYNQ + GPIO (17)  
GPIO: GP[83:67]  
VLYNQ: VLYNQ_CLOCK, VLYNQ_SCRUN, VLYNQ_RXD[3:0],  
VLYNQ_TXD[3:0]  
EMAC (MII): TXCLK, CRS, COL, TXD[3:0], RXDV, TXEN, RXER, RXCLK,  
0
011  
VLYNQ + EMAC (MII) + MDIO  
RXD[3:0]  
MDIO: MDC, MDIO  
If EMAC operation is desired, EMAC must be placed in reset before  
programming PINMUX1.HOSTBK to select EMAC pins.  
EMAC (MII): TXCLK, CRS, COL, TXD[3:0], RXDV, TXEN, RXER, RXCLK,  
RXD[3:0]  
MDIO: MDC, MDIO  
GPIO: GP[66:57]  
0
0
100  
EMAC (MII) + MDIO + GPIO (10)  
If EMAC operation is desired, EMAC must be placed in reset before  
programming PINMUX1.HOSTBK to select EMAC pins.  
101 to 111  
Reserved  
Reserved  
(1) If PCIEN = 1, the internal pullup/pulldown on all Host Block pins are disabled. If PCIEN = 0, the internal pullup/pulldown on all Host  
Block pins are enabled.  
The PINMUX1.PCIEN field is read-only, and its setting is determined by the PCIEN configuration pin.  
Based on the PCIEN configuration pin setting, the 27 pins in the Host Block defaults to either PCI or GPIO  
function.  
In addition, the VDD3P3V_PWDN.HOST field determines the power state of the Host Block pins. The  
Host Block pins default to powered up. For more details on the VDD3P3V_PWDN.HOST field, see  
Section 3.2, Power Considerations.  
Submit Documentation Feedback  
Device Configurations  
111  
 
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
3.7.3.4 PCI Data Block  
This block of 3 pins consists of 3 PCI Address/Data pins—AD30, AD28, AD26. The PINMUX1.PCIEN  
register field affects the pin functions in the PCI Data Block.  
As discussed in Section 3.7.3.2, Peripherals Spanning Multiple Pin Mux Blocks, PCI pins span across the  
following Pin Mux Blocks: Host Block, EMIFA/VPSS Block Sub-Block 0 and Sub-Block 3, PCI Data Block,  
and GPIO Block. For proper PCI operation, PCI must be selected in all of these Pin Mux Blocks.  
The 3 pins in the PCI Data Block are not muxed with any other peripherals. However, the  
PINMUX1.PCIEN field controls the internal pullup/pulldown resistors on these pins. For PCI operation  
(PCIEN = 1), the internal pullup/pulldown resistors are disabled. If the device does not support PCI  
(PCIEN = 0), the internal pullup/pulldown resistors on these pins are enabled so that the user can leave  
these pins unconnected on the board.  
Table 3-24 shows the Host Block pin selection based on PINMUX1.PCIEN setting.  
Table 3-24. PCI Data Block Pin Control  
PINMUX1.PCIEN  
BLOCK FUNCTION  
RESULTING PIN FUNCTIONS  
No Connect Pins  
(Default if PCIEN = 0)  
No Connect Pins  
0
Internal pullup/pulldown enabled. Leave these three pins unconnected on the board.  
PCI  
1
PCI: AD26, AD28, AD30  
(Default if PCIEN = 1)  
112  
Device Configurations  
Submit Documentation Feedback  
 
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
3.7.3.5 GPIO Block Muxing  
This block of 4 pins consists of PCI and GPIO muxed pins. The PINMUX1.PCIEN register field selects the  
pin functions in the GPIO Block.  
Table 3-25 summarizes the 4 pins in the GPIO Block, the multiplexed function on each pin, and the  
PINMUX configurations to select the corresponding function.  
Table 3-25. GPIO Block Muxed Pins Selection  
MULTIPLEXED FUNCTIONS  
SIGNAL  
PCI  
GPIO  
NAME  
FUNCTION  
AD0  
SELECT  
FUNCTION  
GP[0]  
SELECT  
AD0/GP[0]  
AD1/GP[1]  
AD2/GP[2]  
AD4/GP[3]  
AD1  
GP[1]  
PCIEN = 1(1)  
PCIEN = 0(1)  
AD2  
GP[2]  
AD4  
GP[3]  
(1) If PCIEN = 1, the internal pullup/pulldown on all GPIO Block pins are disabled. If PCIEN = 0, the internal pullup/pulldown on all GPIO  
Block pins are enabled.  
As discussed in Section 3.7.3.2, Peripherals Spanning Multiple Pin Mux Blocks, PCI pins span across the  
following Pin Mux Blocks: Host Block, EMIFA/VPSS Block Sub-Block 0 and Sub-Block 3, PCI Data Block,  
and GPIO Block. For proper PCI operation, PCI must be selected in all of these Pin Mux Blocks.  
Table 3-26 provides a different view of the GPIO Block pin muxing, showing the GPIO Block function  
based on PINMUX1.PCIEN setting. The selection options are also shown pictorially in Figure 3-11.  
Table 3-26. GPIO Block Function Selection  
PINMUX1.PCIEN  
BLOCK FUNCTION  
RESULTING PIN FUNCTIONS  
PCI  
0
PCI: AD0, AD1, AD2, AD4  
(Default if PCIEN = 1)  
GPIO (4)  
(Default if PCIEN = 0)  
1
GPIO: GP[3:0]  
The PINMUX1.PCIEN field is read-only, and its setting is determined by the PCIEN configuration pin.  
Based on the PCIEN configuration pin setting, the 4 pins in the GPIO Block defaults to either PCI or GPIO  
function.  
In addition, the VDD3P3V_PWDN.GPIO field determines the power state of the GPIO Block pins. The  
GPIO Block pins default to powered up. For more details on the VDD3P3V_PWDN.GPIO field, see  
Section 3.2, Power Considerations.  
Submit Documentation Feedback  
Device Configurations  
113  
 
 
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
3.7.3.6 UART0 Data Block Muxing  
This block of 2 pins consists of UART0 Data and GPIO muxed pins. The PINMUX1.UR0DBK register field  
select the pin functions in the UART0 Data Block.  
Table 3-27 summarizes the 2 pins in the UART0 Data Block, the multiplexed function on each pin, and the  
PINMUX configurations to select the corresponding function.  
Table 3-27. UART0 Data Block Muxed Pins Selection  
MULTIPLEXED FUNCTIONS  
SIGNAL  
UART0  
GPIO  
NAME  
FUNCTION  
URXD0  
SELECT  
FUNCTION  
GP[85]  
SELECT  
URXD0/GP[85]  
UTXD0/GP[86]  
UR0DBK = 1  
UR0DBK = 0  
UTXD0  
GP[86]  
As discussed in Section 3.7.3.2, Peripherals Spanning Multiple Pin Mux Blocks, the UART0 pins span  
across two Pin Mux Blocks: UART0 Data Block, and UART0 Flow Control Block. For proper UART0  
operation, the two pins in the UART0 Data Block must be configured for UART0 data functions. The two  
pins in the UART0 Flow Control Block are optional.  
Table 3-28 provides a different view of the UART0 Data Block pin muxing, showing the UART0 Data Block  
function based on PINMUX1.UR0DBK setting. The selection options are also shown pictorially in  
Figure 3-11.  
Table 3-28. UART0 Data Block Function Selection  
PINMUX1.UR0DBK  
BLOCK FUNCTION  
GPIO (2) (default)  
UART0 Data  
RESULTING PIN FUNCTIONS  
GPIO: GP[86:85]  
0
1
UART0: URXD0, UTXD0  
In addition, the VDD3P3V_PWDN.UR0DAT field determines the power state of the UART0 Data Block  
pins. The UART0 Data Block pins default to powered down and not operational. To use these pins, user  
must first program VDD3P3V_PWDN.UR0DAT = 0 to power up the pins. For more details on the  
VDD3P3V_PWDN.UR0DAT field, see Section 3.2, Power Considerations.  
The UART0 Data Block features internal pullup resistors, which matches the UART inactive polarity.  
3.7.3.7 UART0 Flow Control Block  
This block of 2 pins consists of UART0 Flow Control, PWM0, and GPIO muxed pins. The  
PINMUX1.UR0FCBK register field selects the pin functions in the UART0 Flow Control Block.  
Table 3-29 summarizes the 2 pins in the UART0 Flow Control Block, the multiplexed function on each pin,  
and the PINMUX configurations to select the corresponding function.  
Table 3-29. UART0 Flow Control Block Muxed Pins Selection  
MULTIPLEXED FUNCTIONS  
SIGNAL  
UART0  
PWM0  
GPIO  
NAME  
FUNCTION  
SELECT  
FUNCTION  
SELECT  
FUNCTION  
SELECT  
UCTS0/  
GP[87]  
UCTS0  
GP[87]  
UR0FCBK = 00/10  
UR0FCBK = 01  
URTS0/  
PWM0/  
GP[88]  
URTS0  
PWM0  
UR0FCBK = 10  
GP[88]  
UR0FCBK = 00  
114  
Device Configurations  
Submit Documentation Feedback  
 
 
 
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
As discussed in Section 3.7.3.2, Peripherals Spanning Multiple Pin Mux Blocks, the UART0 pins span  
across two Pin Mux Blocks: UART0 Data Block, and UART0 Flow Control Block. For proper UART0  
operation, the two pins in the UART0 Data Block must be configured for UART0 data functions. The two  
pins in the UART0 Flow Control Block are optional.  
Table 3-30 provides a different view of the UART0 Flow Control Block pin muxing, showing the UART0  
Flow Control Block function based on PINMUX1.UR0FCBK setting. The selection options are also shown  
pictorially in Figure 3-11.  
Table 3-30. UART0 Flow Control Block Function Selection  
PINMUX1.UR0FCBK  
BLOCK FUNCTION  
GPIO (2) (default)  
UART0 Flow Control  
RESULTING PIN FUNCTIONS  
GPIO: GP[88:87]  
00  
01  
UART0: UCTS0, URTS0  
PWM0: PWM0  
GPIO: GP[87]  
10  
11  
PWM0 + GPIO (1)  
Reserved  
Reserved  
In addition, the VDD3P3V_PWDN.UR0FC field determines the power state of the UART0 Flow Control  
Block pins. The UART0 Flow Control Block pins default to powered down and not operational. To use  
these pins, user must first program VDD3P3V_PWDN.UR0FC = 0 to power up the pins. For more details  
on the VDD3P3V_PWDN.UR0FC field, see Section 3.2, Power Considerations.  
The UART0 Flow Control Block features internal pullup resistors, which matches the UART inactive  
polarity.  
3.7.3.8 Timer0 Block  
This block of 2 pins consists of Timer0, McBSP0, and GPIO muxed pins. The PINMUX1.TIM0BK register  
field selects the pin functions in the Timer0 Block.  
Table 3-31 summarizes the 2 pins in the Timer0 Block, the multiplexed function on each pin, and the  
PINMUX configurations to select the corresponding function.  
Table 3-31. Timer0 Block Muxed Pins Selection  
MULTIPLEXED FUNCTIONS  
SIGNAL  
McBSP  
Timer0  
GPIO  
NAME  
FUNCTION  
SELECT  
FUNCTION  
SELECT  
FUNCTION  
SELECT  
TINP0L/  
GP[98]  
TINP0L  
TIM0BK = 01/11  
GP[98]  
TIM0BK = 00  
CLKS0/  
TOUT0L/  
GP[97]  
CLKS0  
TIM0BK = 11  
TOUT0L  
TIM0BK = 01  
GP[97]  
As discussed in Section 3.7.3.2, Peripherals Spanning Multiple Pin Mux Blocks, the McBSP0 pins span  
across two Pin Mux Blocks: Serial Port Sub-Block0, and Timer0 Block. For proper McBSP0 operation, the  
Serial Port Sub-Block0 must be programmed to select McBSP0 function. The McBSP0 CLKS0 pin in the  
Timer0 Block is optional for McBSP0 operation. CLKS0 is only needed if you desire using CLKS0 as an  
external clock source to the McBSP0 internal sample rate generator.  
Submit Documentation Feedback  
Device Configurations  
115  
 
 
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
Table 3-32 provides a different view of the Timer0 Block pin muxing, showing the Timer0 Block function  
based on PINMUX1.TIM0BK setting. The selection options are also shown pictorially in Figure 3-11.  
Table 3-32. Timer0 Block Function Selection  
PINMUX1.TIM0BK  
BLOCK FUNCTION  
GPIO (2) (default)  
Timer0  
RESULTING PIN FUNCTIONS  
GPIO: GP[98:97]  
00  
01  
10  
Timer0: TINP0L, TOUT0L  
Reserved  
Reserved  
McBSP0 External Clock Source,  
Timer0 Input  
McBSP0: CLKS0  
Timer0: TINP0L  
11  
In addition, the VDD3P3V_PWDN.TIMER0 field determines the power state of the Timer0 Block pins. The  
Timer0 Block pins default to powered down and not operational. To use these pins, user must first  
program VDD3P3V_PWDN.TIMER0  
=
0 to power up the pins. For more details on the  
VDD3P3V_PWDN.TIMER0 field, see Section 3.2, Power Considerations.  
3.7.3.9 Timer1 Block  
This block of 2 pins consists of Timer1 and GPIO muxed pins. The PINMUX1.TIM1BK register field  
selects the pin functions in the Timer1 Block.  
Table 3-33 summarizes the 2 pins in the Timer1 Block, the multiplexed function on each pin, and the  
PINMUX configurations to select the corresponding function.  
Table 3-33. Timer1 Block Muxed Pins Selection  
MULTIPLEXED FUNCTIONS  
SIGNAL  
NAME  
TIMER1  
GPIO  
FUNCTION  
SELECT  
FUNCTION  
SELECT  
TINP1L/  
GP[56]  
TINP1L  
GP[56]  
TIM1BK = 01  
TIM1BK = 00  
TOUT1L/  
GP[55]  
TOUT1L  
GP[55]  
Table 3-34 provides a different view of the Timer1 Block pin muxing, showing the Timer1 Block function  
based on PINMUX1.TIM1BK setting. The selection options are also shown pictorially in Figure 3-11.  
Table 3-34. Timer1 Block Function Selection  
PINMUX1.TIM1BK  
BLOCK FUNCTION  
GPIO (2) (default)  
Timer1  
RESULTING PIN FUNCTIONS  
GPIO: GP[56:55]  
Timer1: TINP1L, TOUT1L  
Reserved  
00  
01  
10  
11  
Reserved  
Reserved  
Reserved  
In addition, the VDD3P3V_PWDN.TIMER1 field determines the power state of the Timer1 Block pins. The  
Timer1 Block pins default to powered down and not operational. To use these pins, user must first  
program VDD3P3V_PWDN.TIMER1  
=
0 to power up the pins. For more details on the  
VDD3P3V_PWDN.TIMER1 field, see Section 3.2, Power Considerations.  
The Timer1 Block features internal pullup resistors.  
116  
Device Configurations  
Submit Documentation Feedback  
 
 
 
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
3.7.3.10 Serial Port Block  
This block of 12 pins consists of McASP0, McBSP0, and GPIO muxed pins. The following register fields  
select the pin functions in the Serial Port Block:  
PINMUX1.SPBK0  
PINMUX1.SPBK1  
The Serial Port Block is further subdivided into these sub-blocks:  
Serial Port Sub-Block 0: McBSP0, part of McASP0, GPIO.  
Serial Port Sub-Block 1: part of McASP0, GPIO.  
Table 3-35 summarizes the 12 pins in the Serial Port Block, the multiplexed function on each pin, and the  
PINMUX configurations to select the corresponding function.  
Table 3-35. Serial Port Block Muxed Pins Selection  
MULTIPLEXED FUNCTIONS  
SIGNAL NAME  
McASP0  
FUNCTION  
McBSP0  
FUNCTION  
GPIO  
SELECT  
SELECT  
FUNCTION  
SELECT  
Serial Port Sub-block 0  
ACLKR0/CLKX0/GP[99]  
AFSR0/DR0/GP[100]  
ACLKR0  
AFSR0  
CLKX0  
DR0  
GP[99]  
GP[100]  
GP[101]  
GP[102]  
GP[103]  
GP[104]  
AHCLKR0/CLKR0/GP[101]  
AXR0[3]/FSR0/GP[102]  
AXR0[2]/FSX0/GP[103]  
AXR0[1]/DX0/GP[104]  
AHCLKR0  
AXR0[3]  
AXR0[2]  
AXR0[1]  
CLKR0  
SPBK0 = 10  
SPBK0 = 01  
SPBK0 = 00  
FSR0  
FSX0  
DX0  
Serial Port Sub-block 1  
AXR0[0]/GP[105]  
ACLKX0/GP[106]  
AFSX0/GP[107]  
AXR0[0]  
ACLKX0  
AFSX0  
SPBK1 = 10  
GP[105]  
GP[106]  
GP[107]  
GP[108]  
GP[109]  
GP[110]  
SPBK1 = 10  
SPBK1 = 10  
SPBK1 = 10  
SPBK1 = 10  
SPBK1 = 10  
SPBK1 = 00  
AHCLKX0/GP[108]  
AMUTEIN0/GP[109]  
AMUTE0/GP[110]  
AHCLKX0  
AMUTEIN0  
AMUTE0  
As discussed in Section 3.7.3.2, Peripherals Spanning Multiple Pin Mux Blocks, the McBSP0 pins span  
across two Pin Mux Blocks: Serial Port Sub-Block0, and Timer0 Block. For proper McBSP0 operation, the  
Serial Port Sub-Block0 must be programmed to select McBSP0 function. The McBSP0 CLKS0 pin in the  
Timer0 Block is optional for McBSP0 operation. CLKS0 is only needed if you desire using CLKS0 as an  
external clock source to the McBSP0 internal sample rate generator.  
Table 3-36 and Table 3-37 provide a different view of the Serial Port Block. Table 3-36 shows the Serial  
Port Sub-Block 0 function based on PINMUX1.SPBK0 setting. Table 3-37 shows the Serial Port Sub-Block  
1 function based on PINMUX1.SPBK1 setting. These selection options are also shown pictorially in  
Figure 3-11.  
Submit Documentation Feedback  
Device Configurations  
117  
 
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
Table 3-36. Serial Port Sub-Block 0 Function Selection  
PINMUX1.SPBK0  
BLOCK FUNCTION  
GPIO (6) (default)  
McBSP0  
RESULTING PIN FUNCTIONS  
00  
01  
GPIO: GP[104:99]  
McBSP0: CLKX0, FSX0, DX0, CLKR0, FSR0, DR0  
McASP0: ACLKR0, AFSR0, AHCLKR0, AXR0[3],  
10  
11  
McASP0 Receive, 3 Serializers  
Reserved  
AXR0[2], AXR0[1]  
Reserved  
Table 3-37. Serial Port Sub-Block 1 Function Selection  
PINMUX1.SPBK1  
BLOCK FUNCTION  
GPIO (6) (default)  
Reserved  
RESULTING PIN FUNCTIONS  
00  
01  
GPIO: GP[110:105]  
Reserved  
McASP0 Transmit with 1 Serializer and  
Mute Control  
McASP0: AXR0[0], ACLKX0, AFSX0, AHCLKX0,  
10  
11  
AMUTEIN0(1), AMUTE0  
Reserved  
Reserved  
(1) The input from the AMUTEIN0/GP[109] pin is connected to both the McASP0 and GPIO.  
In addition, the VDD3P3V_PWDN.SP field determines the power state of the Serial Port Block pins. The  
Serial Port Block pins default to powered down and not operational. To use these pins, user must first  
program VDD3P3V_PWDN.SP = 0 to power up the pins. For more details on the VDD3P3V_PWDN.SP  
field, see Section 3.2, Power Considerations.  
To facilitate McASP0 operation, the input from the AMUTEIN0/GP[109] pin is connected to both the  
McASP0 and the GPIO module. Therefore when an external mute event occurs, in addition to notifying the  
McASP0, it can also cause an interrupt through the GPIO module.  
118  
Device Configurations  
Submit Documentation Feedback  
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
3.7.3.11 PWM1 Block  
This block of 1 pin consists of PWM1 and GPIO muxed pins (GP[4]/PWM1). The PINMUX1.PWM1BK  
register field selects the pin function in the PWM1 Block.  
Table 3-38 summarizes the 1 pin in the PWM1 Block, its multiplexed function, and the PINMUX  
configurations to select the corresponding function.  
Table 3-38. PWM1 Block Muxed Pin Selection  
MULTIPLEXED FUNCTIONS  
SIGNAL  
PWM1  
GPIO  
NAME  
FUNCTION  
SELECT  
FUNCTION  
SELECT  
GP[4]/PWM1  
PWM1  
PWM1BK = 1  
GP[4]  
PWM1BK = 0  
Table 3-39 provides a different view of the PWM1 Block pin muxing, showing the PWM1 Block function  
based on PINMUX1.PWM1BK setting. The selection options are also shown pictorially in Figure 3-11.  
Table 3-39. PWM1 Block Function Selection  
PINMUX1.PWM1BK  
BLOCK FUNCTION  
GPIO (1) (default)  
PWM1  
RESULTING PIN FUNCTIONS  
GPIO: GP[4]  
PWM1: PWM1  
0
1
In addition, the VDD3P3V_PWDN.PWM1 field determines the power state of the PWM1 Block pin. The  
PWM1 Block pin defaults to powered down and not operational. To use this pin, user must first program  
VDD3P3V_PWDN.PWM1 = 0 to power up the pin. For more details on the VDD3P3V_PWDN.PWM1 field,  
see Section 3.2, Power Considerations.  
Submit Documentation Feedback  
Device Configurations  
119  
 
 
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
3.7.3.12 CLKOUT Block  
This block of 1 pin consists of CLKOUT, PWM2, and GPIO muxed pin (CLKOUT0/PWM2/GP[84]). The  
PINMUX1.CKOBK register field selects the pin function in the CLKOUT Block.  
Table 3-40 summarizes the 1 pin in the CLKOUT Block, its multiplexed function, and the PINMUX  
configurations to select the corresponding function.  
Table 3-40. CLKOUT Block Multiplexed Pin Selection  
MULTIPLEXED FUNCTIONS  
SIGNAL  
CLKOUT0  
SELECT  
PWM2  
GPIO  
NAME  
FUNCTION  
FUNCTION  
SELECT  
CKOBK = 10  
FUNCTION  
SELECT  
CLKOUT0/  
PWM2/  
CLKOUT0  
CKOBK = 01  
PWM2  
GP[84]  
CKOBK = 00  
GP[84]  
Table 3-41 provides a different view of the CLKOUT Block pin muxing, showing the CLKOUT Block  
function based on PINMUX1.CKOBK setting. The selection options are also shown pictorially in  
Figure 3-11.  
Table 3-41. CLKOUT Block Function Selection  
PINMUX1.CKOBK  
BLOCK FUNCTION  
GPIO (1)  
RESULTING PIN FUNCTIONS  
GPIO: GP[84]  
00  
01  
10  
11  
CLKOUT (default)  
PWM2  
Device Clock-Out: CLKOUT0  
PWM2: PWM2  
Reserved  
Reserved  
This block defaults to CLKOUT0 pin function.  
In addition, the VDD3P3V_PWDN.CLKOUT field determines the power state of the CLKOUT Block pin.  
The CLKOUT Block pin defaults to powered up. For more details on the VDD3P3V_PWDN.CLKOUT field,  
see Section 3.2, Power Considerations.  
120  
Device Configurations  
Submit Documentation Feedback  
 
 
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
3.7.3.13 EMIFA/VPSS Block Muxing  
This block of 61 pins consists of VPSS, EMIFA, PCI, and GPIO muxed pins. The following register fields  
affect the pin functions in the EMIFA/VPSS Block:  
All PINMUX0 register fields: AEM, VENCSEL, CS5SEL, CS4SEL, CS3SEL, RGBSEL, VPBECKEN,  
and AEAW  
PINMUX1.PCIEN  
The EMIFA/VPSS Block is divided into multiple sub-blocks for ultimate flexibility in pin multiplexing to  
accommodate a wide variety of applications:  
Sub-Block 0: multiplexed between EMIFA data/address/control pins, PCI, and GPIO.  
Sub-Block 1: multiplexed between VPBE, EMIFA data/address/control pins, and GPIO.  
Sub-Block 2: no multiplexing. EMIFA control pins EM_WAIT/(RDY/BSY), EM_OE, EM_WE.  
Sub-Block 3: multiplexed between EMIFA address pins EM_A[12:6], PCI, and GPIO.  
As discussed in Section 3.7.3.2, Peripherals Spanning Multiple Pin Mux Blocks, PCI pins span across the  
following Pin Mux Blocks: Host Block, EMIFA/VPSS Block Sub-Block 0 and Sub-Block 3, PCI Data Block,  
and GPIO Block. For proper PCI operation, PCI must be selected in all of these Pin Mux Blocks.  
The EMBK0, EMBK1, EMBK2, EMBK3 fields in the VDD3P3V_PWDN register determine the power state  
of the EMIFA/VPSS Block pins. The EMIFA/VPSS Block pins default to powered up. For more details on  
the EMBK0, EMBK1, EMBK2, EMBK3 fields in the VDD3P3V_PWDN register, see Section 3.2, Power  
Considerations.  
To understand pin multiplexing in the EMIFA/VPSS Block, the user should start with Section 3.7.3.13.1,  
EMIFA/VPSS Block Pin Selection Procedure, which outlines the procedures to select pin functions of this  
block. Section 3.7.3.13.7, EMIFA/VPSS Block Pin-By-Pin Multiplexing Summary, provides a pin-by-pin  
multiplexing summary for the EMIFA/VPSS Block. For more information on the PINMUX0 and PINMUX1  
registers, see Section 3.7.2, Pin Muxing Selection After Device Reset.  
3.7.3.13.1 EMIFA/VPSS Block Pin Selection Procedure  
Follow the steps below to perform pin selection for the EMIFA/VPSS Block and its sub-blocks.  
1. Major Configuration Options: start with Table 3-42, EMIFA/VPSS Block Major Configuration Choices.  
Based on the peripheral needs, the user should select from the major configuration options in this  
block: Major Config Options A, B, C, D, E, F, G.  
2. Sub-Block 0, Sub-Block 2, and Sub-Block 3 Selection: After selecting the major configuration option  
from Table 3-42, EMIFA/VPSS Block Major Configuration Choices, the pin selection for Sub-Block 0,  
Sub-Block 2, and Sub-Block 3 is complete.  
3. Sub-Block 1 Selection: Use Table 3-44 through Table 3-48, EMIFA/VPSS Sub-Block 1 Configuration  
Choices, to refine Sub-Block 1 pin selection.  
a. Go to the table with the Major Configuration Option chosen in Step 1.  
b. Each Major Configuration Option is further divided down into multiple Minor Configuration Options.  
Select a Minor Configuration Option that best suits the application need.  
c. Within the chosen Minor Configuration Option, further refine the detailed pin configurations by  
selecting the settings of PINMUX0 fields VENCSEL, RGBSEL, CS3SEL, CS4SEL, and CS5SEL.  
d. The Selection Fields columns shows the settings needed to program the PINMUX0 register.  
After following the procedure in this section to determine pin functions for the EMIFA/VPSS Block, the  
user should refer to Section 3.7.3.13.7, EMIFA/VPSS Block Pin-By-Pin Multiplexing Summary, for  
pin-multiplexing information on a pin-by-pin basis.  
Submit Documentation Feedback  
Device Configurations  
121  
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
3.7.3.13.2 EMIFA/VPSS Block Major Configuration Choices  
Table 3-42 shows the major configuration choices in the EMIFA/VPSS Block. For instructions on how to  
use the EMIFA/VPSS Block Major Configuration Choices table for the EMIFA/VPSS Block and  
Sub-Blocks, see Section 3.7.3.13.1.  
Table 3-42. EMIFA/VPSS Block Major Configuration Choices  
PINMUX SELECTION FIELDS(1)  
RESULTING PERIPHERALS/PINS  
MAJOR  
CONFIG.  
OPTION  
VPBE AND # GP PINS  
(FROM GP[33:5])  
# GP PINS  
(FROM GP[54:34])  
PCIEN  
AEM  
VENCSEL  
PCI(2)  
EMIFA  
VENCSEL  
VPBE & # GP Pins  
# GP Pins  
No VENC  
29 GP pins  
00  
8-bit VENC  
8-to-29-GP pins  
A
0
000  
00, 01, 10  
-
-
01  
10  
21 GP pins  
16-to-24-bit VENC  
0-to-12 GP pins  
No VENC  
9-to-13 GP pins  
00  
8-bit EMIFA (ASYNC)  
Pinout Mode 1 with address  
pins to support 16MB per CS.  
B
C
0
0
001(3)  
00, 01  
00, 10  
-
-
11 GP pins  
12 GP pins  
8-bit VENC(3)  
0-to-4 GP pins  
01(3)  
00  
No VENC  
17-to-21 GP pins  
8-bit EMIFA (ASYNC)  
Pinout Mode 3 with address  
pins to support up to 32KB  
per CS.  
011(3)  
16-bit VENC(3)  
0-to-4 GP pins  
10(3)  
00  
No VENC  
22-to-26 GP pins  
8-bit EMIFA (NAND)  
Pinout Mode 4  
8-bit VENC  
12-to-17 GP pins  
D
E
F
0
0
1
1
100  
101  
000  
101  
00, 01, 10  
-
01  
13 GP pins  
21 GP pins  
13 GP pins  
13 GP pins  
16-to-18-bit VENC  
2-to-9 GP pins  
10  
No VENC  
14-to-18 GP pins  
00  
8-bit EMIFA (NAND)  
Pinout Mode 5  
00, 01  
-
8- bit VENC  
4-to-9 GP pins  
01  
No VENC  
29 GP pins  
00  
8-bit VENC  
8-to-29 GP pins  
00, 01, 10  
PCI  
-
01  
16-to-24-bit VENC  
0-to-12 GP pins  
10  
No VENC  
14-to-18 GP pins  
00  
8-bit EMIFA (NAND)  
Pinout Mode 5  
G
00, 01  
PCI  
8-bit VENC  
4-to-9 GP pins  
01  
(1) For additional pin mux details for each Sub-Block, see Table 3-44 through Table 3-48, EMIFA/VPSS Sub-Block 1 Configuration Choices.  
(2) PCI pins span across multiple Pin Mux Blocks (Section 3.7.3.2, Peripherals Spanning Multiple Pin Mux Blocks). This table only refers to  
the PCI pins in the EMIFA/VPSS Block.  
(3) If PINMUX0.AEM = 001 or 011, it is not possible to get LCD_FIELD pin for VPBE.  
As shown in Table 3-42, the major configuration choices of the EMIFA/VPSS Block are determined by the  
following PINMUX register fields:  
PINMUX1 register field PCIEN  
PINMUX0 register fields AEM and VENCSEL  
Based on the peripheral needs, select from the major configuration options in this block: Major  
Configuration Options A, B, C, D, E, F, and G.  
122  
Device Configurations  
Submit Documentation Feedback  
 
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
The following is an example on how to read Table 3-42. For example, the "PINMUX Selection Fields"  
columns indicate that Major Configuration Choice B is selected through setting PINMUX1.PCIEN = 0,  
PINMUX0.AEM = 1, and VENCSEL = 0 or 1 (based on the system's VPBE requirement). The "Resulting  
Peripherals/Pins" columns indicate that Major Configuration Option B can support the following  
combination of pin functions:  
No PCI pins  
Pins for 8-bit EMIFA (Async or NAND) function. The number of address pins supported provide  
16MByte address reach per EMIFA Chip Select (CS) space.  
Pins for up to 8-bit VPBE. If 8-bit VPBE (VENCSEL = 1) is selected, the user may have 0 to 4 GPIO  
pins. Exact detail on number of GPIO pins and VPBE control pins is further determined by other  
PINMUX0 settings discussed in the EMIFA/VPSS Sub-Block 1 Configuration Choices.  
11 GPIO pins (GP[54:52, 43:36]) from EMIFA/VPSS Sub-Block 0.  
After using Table 3-42 to select the Major Configuration Option for the EMIFA/VPSS Block, proceed to  
select the detailed pin choices in the EMIFA/VPSS Sub-Blocks.  
3.7.3.13.3 EMIFA/VPSS Sub-Block 0 Configuration Choices  
The pins in the EMIFA/VPSS Sub-Block 0 are muxed between part of EMIFA, part of PCI, and GPIO. The  
pin functions in the EMIFA/VPSS Sub-Block 0 are determined by the following PINMUX register fields:  
PINMUX1.PCIEN  
PINMUX0:AEM, AEAW (must be set to 100b)  
Once the Major Configuration Option for the EMIFA/VPSS Block has been selected (see  
Section 3.7.3.13.2, EMIFA/VPSS Block Major Configuration Choices), no further actions are necessary to  
refine the EMIFA/VPSS Sub-Block 0 pin selection. For instructions on the procedures to configure the  
EMIFA/VPSS Block, see Section 3.7.3.13.1, EMIFA/VPSS Block Pin Selection Procedure.  
Table 3-43 summarizes the pin selections in the EMIFA/VPSS Sub-Block 0 based on the PINMUX  
selections.  
Submit Documentation Feedback  
Device Configurations  
123  
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
Table 3-43. EMIFA/VPSS Sub-Block 0 Configuration Choices  
PINMUX SELECTION FIELDS  
RESULTING PERIPHERALS/PINS  
MAJOR  
CONFIG  
OPTION  
PCIEN  
AEM  
AEAW  
PCI  
EMIFA  
GPIO  
21 GP pins:  
GP[54:34]  
A
0
000  
N/A  
8-bit EMIFA (Async)  
Pinout Mode 1  
EM_R/W  
11 GP pins:  
GP[54:52], GP[43:36]  
B
0
001(1)  
100  
EM_A[21:13]  
8-bit EMIFA (Async)  
Pinout Mode 3  
EM_R/W  
12 GP pins:  
GP[54:52], GP[43:36],  
GP[34]  
C
D
E
0
0
0
011  
100  
101  
N/A  
N/A  
N/A  
EM_D[7:0]  
8-bit EMIFA (NAND) 13 GP pins:  
Pinout Mode 4  
EM_D[7:0]  
GP[54:52], GP[43:36],  
GP[35:34]  
8-bit EMIFA (NAND)  
Pinout Mode 5  
No EMIFA pins from  
Sub-Block 0  
21 GP pins:  
GP[54:34]  
PCI:  
13 GP pins:  
GP[54:52], GP[43:36],  
GP[35:34]  
PREQ, PINTA, PRST,  
PGNT, AD31, AD29,  
AD27, AD25  
F
1
1
000  
101  
N/A  
N/A  
PCI:  
8-bit EMIFA (NAND)  
13 GP pins:  
GP[54:52], GP[43:36],  
GP[35:34]  
PREQ, PINTA, PRST, Pinout Mode 5  
PGNT, AD31, AD29,  
AD27, AD25  
G
No EMIFA pins from  
Sub-Block 0  
(1) For AEM = 001, AEAW must be set to 100b. For AEM = 000, 011, 100, or 101, AEAW is "don't care".  
124  
Device Configurations  
Submit Documentation Feedback  
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
3.7.3.13.4 EMIFA/VPSS Sub-Block 1 Configuration Choices  
Table 3-44 through Table 3-48 show the configuration choices in the EMIFA/VPSS Sub-Block 1. For  
instructions on how to use the different configuration choices tables for the EMIFA/VPSS Block and  
Sub-Blocks, see Section 3.7.3.13.1, EMIFA/VPSS Block Pin Selection Procedure.  
Before using Table 3-44 through Table 3-48 to configure the details of the EMIFA/VPSS Sub-Block 1, the  
user should first select the Major Configuration Option for the EMIFA/VPSS Block (see Section 3.7.3.13.2,  
EMIFA/VPSS Block Major Configuration Choices). After determining the Major Configuration Option (A, B,  
C, D, E, F, or G), the user can now use Table 3-44 through Table 3-48 to refine the Sub-Block 1 pin  
selections.  
1. Go to the table with the Major Configuration Option chosen from Table 3-42.  
2. Each Major Configuration Option is further divided down into multiple Minor Configuration Options.  
Select a Minor Configuration Option that best suits the application need.  
3. Within the chosen Minor Configuration Option, further refine the detailed pin configurations by selecting  
the settings of PINMUX0 fields VENCSEL, RGBSEL, CS3SEL, CS4SEL, CS5SEL, and VPBECKEN.  
4. The PINMUX Selection Fields columns give the user the settings needed to program the PINMUX0  
register.  
Submit Documentation Feedback  
Device Configurations  
125  
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
Table 3-44. EMIFA/VPSS Sub-Block 1 Configuration Choices A and F(1)  
MAJOR  
CONFIG  
OPTION  
MINOR  
CONFIG  
OPTION  
PINMUX SELECTION FIELDS  
RESULTING PERIPHERALS/PINS  
VPBE  
AEM  
OTHERS  
EMIFA  
No EMIFA  
GPIO  
Cfg Summary  
No VENC  
29 GP pins  
0 = GP[31, 29:14]  
0 = GP[12:5]  
0 = GP[13]  
VENCSEL = 0  
RGBSEL = 0  
CS3SEL = 0  
CS4SEL = 0  
CS5SEL = 0  
VPBECKEN = 0  
Cfg Summary  
A1, F1  
000  
-
-
0 = GP[32]  
0 = GP[33]  
0 = GP[30]  
No EMIFA  
8-bit VENC  
8 to 29 GP pins  
1 = VCLK,  
YOUT[7:0]  
VENCSEL = 1  
1 = GP[21:14]  
0 = GP[12:5]  
1 = GP[12],  
GP[10:5]  
0 = none  
1 = LCD_FIELD  
RGBSEL = 0,1  
A2, F2  
000  
-
CS3SEL = 0,2  
CS4SEL = 0,2  
CS5SEL = 0,2  
VPBECKEN = 0,1  
Cfg Summary  
2 = LCD_OE  
2 = VSYNC  
0 = GP[13]  
0 = GP[32]  
A, F  
2 = HSYNC  
0 = GP[33]  
1 = VPBECLK  
16-to-24-bit VENC  
0 = GP[30]  
No EMIFA  
0 to 12 GP pins  
2 = VCLK,  
YOUT[7:0],  
COUT[7:0]  
VENCSEL = 2  
-
0 = none  
0 = GP[12:5]  
1 = GP[12],  
GP[10:5]  
2 = GP[12:7]  
3 = GP[12],  
1 = LCD_FIELD  
2 = R2, B2  
3 = R2, B2,  
LCD_FIELD  
RGBSEL = 0,1,2,3,4  
A3, F3  
000  
-
4 = G0, B0, R0, G1, GP[10:7]  
B1, R1, R2, B2  
2 = LCD_OE  
2 = VSYNC  
4 = No GP  
0 = GP[13]  
0 = GP[32]  
0 = GP[33]  
0 = GP[30]  
CS3SEL = 0,2  
CS4SEL = 0,2  
CS5SEL = 0,2  
VPBECKEN = 0,1  
2 = HSYNC  
1 = VPBECLK  
(1) Italics indicate mandatory settings for a given Minor Configuration option.  
126  
Device Configurations  
Submit Documentation Feedback  
 
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
Table 3-45. EMIFA/VPSS Sub-Block 1 Configuration Choice B(1)  
MAJOR  
CONFIG  
OPTION  
MINOR  
CONFIG  
OPTION  
PINMUX SELECTION FIELDS  
RESULTING PERIPHERALS/PINS  
VPBE  
AEM  
OTHERS  
EMIFA  
GPIO  
8-bit EMIFA (Async)  
Pinout Mode 1  
Cfg Summary  
No VENC  
9-to-13 GP pins  
VENCSEL = 0  
0 = EM_D[7:0]  
-
-
0 = GP[31, 29:22]  
0 = EM_CS2,  
EM_A[4:0],  
EM_BA[1:0]  
RGBSEL = 0  
-
B1  
001  
CS3SEL = 0,1  
CS4SEL = 0,1  
CS5SEL = 0,1  
1 = EM_CS3  
1 = EM_CS4  
1 = EM_CS5  
-
-
-
0 = GP[13]  
0 = GP[32]  
0 = GP[33]  
1 = VPBECLK, can  
be used by DAC  
VPBECKEN = 0,1  
Cfg Summary  
VENCSEL = 1  
-
0 = GP[30]  
B
8-bit EMIFA (Async)  
Pinout Mode 1  
8-bit VENC  
0-to-4 GP pins  
1 = VCLK,  
YOUT[7:0]  
1 = EM_D[7:0]  
-
0 = EM_CS2,  
EM_A[4:0],  
EM_BA[1:0]  
RGBSEL = 0  
-
-
B2  
001  
CS3SEL = 0,1,2  
CS4SEL = 0,1,2  
CS5SEL = 0,1,2  
VPBECKEN = 0,1  
1 = EM_CS3  
1 = EM_CS4  
1 = EM_CS5  
-
2 = LCD_OE  
2 = VSYNC  
2 = HSYNC  
1 = VPBECLK  
0 = GP[13]  
0 = GP[32]  
0 = GP[33]  
0 = GP[30]  
(1) Italics indicate mandatory setting for a given Minor Configuration option.  
Table 3-46. EMIFA/VPSS Sub-Block 1 Configuration Choice C(1)  
MAJOR  
CONFIG  
OPTION  
MINOR  
CONFIG  
OPTION  
PINMUX SELECTION FIELDS  
RESULTING PERIPHERALS/PINS  
AEM  
OTHERS  
EMIFA  
VPBE  
GPIO  
8-bit EMIFA (Async)  
Pinout Mode 3  
Cfg Summary  
No VENC  
17-to-21 GP pins  
VENCSEL = 0  
-
-
-
0 = GP[31, 29:14]  
0 = EM_CS2,  
EM_A[4:0],  
EM_BA[1:0]  
RGBSEL = 0  
-
C1  
011  
CS3SEL = 0,1  
CS4SEL = 0,1  
CS5SEL = 0,1  
1 = EM_CS3  
1 = EM_CS4  
1 = EM_CS5  
-
-
-
0 = GP[13]  
0 = GP[32]  
0 = GP[33]  
1 = VPBECLK, can  
be used by DAC  
VPBECKEN = 0,1  
-
0 = GP[30]  
C
8-bit EMIFA (Async)  
Pinout Mode 3  
Cfg Summary  
16-bit VENC  
0-to-4 GP pins  
2 = VCLK,  
YOUT[7:0],  
COUT[7:0]  
VENCSEL = 2  
-
-
-
0 = EM_CS2,  
EM_A[4:0],  
EM_BA[1:0]  
C2  
011  
RGBSEL = 0  
-
CS3SEL = 0,1,2  
CS4SEL = 0,1,2  
CS5SEL = 0,1,2  
VPBECKEN = 0,1  
1 = EM_CS3  
1 = EM_CS4  
1 = EM_CS5  
-
2 = LCD_OE  
2 = VSYNC  
2 = HSYNC  
1 = VPBECLK  
0 = GP[13]  
0 = GP[32]  
0 = GP[33]  
0 = GP[30]  
(1) Italics indicate mandatory setting for a given Minor Configuration option.  
Submit Documentation Feedback  
Device Configurations  
127  
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
Table 3-47. EMIFA/VPSS Sub-Block 1 Configuration Choice D(1)  
MAJOR  
CONFIG  
OPTION  
MINOR  
CONFIG  
OPTION  
PINMUX SELECTION FIELDS  
RESULTING PERIPHERALS/PINS  
VPBE GPIO  
AEM  
OTHERS  
EMIFA  
8-bit EMIFA (NAND)  
Pinout Mode 4  
Cfg Summary  
No VENC  
22-to-26 GP pins  
0 = GP[31, 29:14]  
0 = GP[11:10, 7:5]  
VENCSEL = 0  
-
-
-
0 = EM_A[2:1],  
EM_CS2  
RGBSEL = 0  
D1  
100  
CS3SEL = 0,1  
CS4SEL = 0,1  
CS5SEL = 0,1  
1 = EM_CS3  
1 = EM_CS4  
1 = EM_CS5  
-
-
-
0 = GP[13]  
0 = GP[32]  
0 = GP[33]  
1 = VPBECLK,  
can be used by  
DAC  
VPBECKEN = 0,1  
-
0 = GP[30]  
8-bit EMIFA (NAND)  
Pinout Mode 4  
Cfg Summary  
8-bit VENC  
12-to-17 GP pins  
1 = VCLK,  
YOUT[7:0]  
VENCSEL = 1  
-
1 = GP[21:14]  
0 = EM_A[2:1],  
EM_CS2  
1 = EM_A[2:1],  
EM_CS2  
0 = none  
1 = LCD_FIELD  
0 = GP[11:10, 7:5]  
1 = GP[10, 7:5]  
RGBSEL = 0,1  
D2  
100  
CS3SEL = 0,1,2  
CS4SEL = 0,1,2  
CS5SEL = 0,1,2  
VPBECKEN = 0,1  
1 = EM_CS3  
1 = EM_CS4  
1 = EM_CS5  
-
2 = LCD_OE  
2 = VSYNC  
2 = HSYNC  
1 = VPBECLK  
0 = GP[13]  
0 = GP[32]  
0 = GP[33]  
0 = GP[30]  
D
8-bit EMIFA (NAND)  
Pinout Mode 4  
16-to-18-bit  
VENC  
Cfg Summary  
2-to-9 GP pins  
2 = VCLK,  
YOUT[7:0],  
COUT[7:0]  
VENCSEL = 2  
-
-
0 = EM_A[2:1],  
EM_CS2  
1 = EM_A[2:1],  
EM_CS2  
2 = EM_A[2:1],  
EM_CS2  
3 = EM_A[2:1],  
EM_CS2  
0 = none  
0 = GP[11:10, 7:5]  
1 = GP[10, 7:5]  
2 = GP[11:10, 7]  
3 = GP[10, 7]  
1 = LCD_FIELD  
2 = R2, B2  
3 = R2, B2,  
LCD_FIELD  
D3  
100  
RGBSEL = 0,1,2,3  
CS3SEL = 0,1,2  
CS4SEL = 0,1,2  
CS5SEL = 0,1,2  
VPBECKEN = 0,1  
1 = EM_CS3  
1 = EM_CS4  
1 = EM_CS5  
-
2 = LCD_OE  
2 = VSYNC  
2 = HSYNC  
1 = VPBECLK  
0 = GP[13]  
0 = GP[32]  
0 = GP[33]  
0 = GP[30]  
(1) Italics indicate mandatory setting for a given Minor Configuration option.  
128  
Device Configurations  
Submit Documentation Feedback  
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
Table 3-48. EMIFA/VPSS Sub-Block 1 Configuration Choices E and G(1)  
MAJOR  
CONFIG  
OPTION  
MINOR  
CONFIG  
OPTION  
PINMUX SELECTION FIELDS  
RESULTING PERIPHERALS/PINS  
VPBE  
AEM  
OTHERS  
EMIFA  
GPIO  
8-bit EMIFA (NAND)  
Pinout Mode 5  
Cfg Summary  
No VENC  
14-to-18 GP pins  
0 = GP[31, 29:22]  
0 = GP[11:10, 7:5]  
VENCSEL = 0  
0 = EM_D[7:0]  
-
-
0 = EM_A[2:1],  
EM_CS2  
RGBSEL = 0  
E1,G1  
101  
CS3SEL = 0,1  
CS4SEL = 0,1  
CS5SEL = 0,1  
1 = EM_CS3  
1 = EM_CS4  
1 = EM_CS5  
-
-
-
0 = GP[13]  
0 = GP[32]  
0 = GP[33]  
1 = VPBECLK, can  
be used by DAC  
VPBECKEN = 0,1  
Cfg Summary  
VENCSEL = 1  
-
0 = GP[30]  
8-bit EMIFA (NAND)  
Pinout Mode 5  
E,G  
8-bit VENC  
4-to-9 GP pins  
1 = VCLK,  
YOUT[7:0]  
1 = EM_D[7:0]  
-
0 = EM_A[2:1],  
EM_CS2  
1 = EM_A[2:1],  
EM_CS2  
0 = none  
1 = LCD_FIELD  
0 = GP[11:10, 7:5]  
1 = GP[10, 7:5]  
RGBSEL = 0,1  
E2,G2  
101  
CS3SEL = 0,1,2  
CS4SEL = 0,1,2  
CS5SEL = 0,1,2  
VPBECKEN = 0,1  
1 = EM_CS3  
1 = EM_CS4  
1 = EM_CS5  
-
2 = LCD_OE  
2 = VSYNC  
2 = HSYNC  
1 = VPBECLK  
0 = GP[13]  
0 = GP[32]  
0 = GP[33]  
0 = GP[30]  
(1) Italics indicate mandatory setting for a given Minor Configuration option.  
As shown in Table 3-44 through Table 3-48, the configuration choices of the EMIFA/VPSS Sub-Block 1  
are determined by the following PINMUX register fields:  
PINMUX0 register fields AEM, VENCSEL, RGBSEL, CS3SEL, CS4SEL, CS5SEL, and VPBECKEN.  
The following is an example on how to read Table 3-44 through Table 3-48 using Sub-Block 1 Minor  
Configuration G2 as an example:  
The PINMUX Selection Fields columns indicate that Sub-Block 1 Minor Configuration Option G2 is  
selected through setting PINMUX0 fields to AEM = 5, VENCSEL = 1, RGBSEL = 0 or 1 (based on  
whether the VPBE LCD_FIELD pin is needed), CS3SEL = 0/1/2 (based on the desired pin choice),  
CS4SEL = 0/1/2 (based on the desired pin choice), CS5SEL = 0/1/2 (based on the desired pin choice),  
and VPBECKEN = 0/1 (based on whether VPBE VPBECLK is needed).  
The Resulting Peripherals/Pins columns show the functional pins resulting from the PINMUX setting.  
For example, PINMUX0.VENCSEL = 1 gives you the VCLK and YOUT[7:0] pins for the VPBE, in  
addition to EM_D[7:0] pins for the EMIFA. PINMUX0.RGBSEL = 1 gives you the LCD_FIELD pin for  
the VPBE, along with EM_A[2:1] and EM_CS2 for the EMIFA, and 4 GP pins.  
Submit Documentation Feedback  
Device Configurations  
129  
 
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
3.7.3.13.5 EMIFA/VPSS Sub-Block 2 Configuration Choices  
The 3 pins in the EMIFA/VPSS Sub-Block 2 are standalone (non-multiplexed) pins. They always function  
as EMIFA control pins EM_WAIT/(RDY/BSY), EM_OE, and EM_WE. No pin mux selection is necessary  
for this Sub-Block.  
3.7.3.13.6 EMIFA/VPSS Sub-Block 3 Configuration Choices  
The 8 pins in the EMIFA/VPSS Sub-Block 3 are multiplexed between:  
EMIFA Address Pins EM_A[12:5]  
PCI pins: PCBE3, PIDSEL, AD[24:19]  
GPIO pins GP[96:89]  
The pin functions in the EMIFA/VPSS Sub-Block 3 are determined by the following PINMUX register  
fields:  
PINMUX1.PCIEN  
PINMUX0.AEM  
Once the Major Configuration Option for the EMIFA/VPSS Block (see Section 3.7.3.13.2, EMIFA/VPSS  
Block Major Configuration Choices) is chosen, no further actions are necessary to refine the EMIFA/VPSS  
Sub-Block 3 pin selection. For instructions on configuring the EMIFA/VPSS Block, see Section 3.7.3.13.1,  
EMIFA/VPSS Block Pin Selection Procedure.  
Table 3-49 summarizes the pin selections in the EMIFA/VPSS Sub-Block 3 based on the PINMUX  
selections.  
Table 3-49. EMIFA/VPSS Sub-Block 3 Configuration Choices  
MAJOR  
CONFIG  
OPTION  
PINMUX SELECTION FIELDS  
RESULTING PERIPHERALS/PINS  
EMIFA  
PCIEN  
AEM  
PCI  
GPIO  
A
B
C
D
E
F
0
0
0
0
0
1
1
000  
001  
011  
100  
101  
000  
101  
-
-
-
-
-
-
GP[96:89]  
EM_A[12:5]  
-
EM_A[12:5]  
-
-
-
GP[96:89]  
GP[96:89]  
PCBE3, PIDSEL, AD[24:19]  
PCBE3, PIDSEL, AD[24:19]  
-
-
-
-
G
The following is an example on how to read Table 3-49 using Sub-Block 3 Major Configuration C as an  
example:  
The PINMUX Selection Fields columns indicate that Sub-Block 3 Major Configuration Option C is  
selected through PINMUX1.PCIEN = 0 and PINMUX0.AEM = 3.  
The Resulting Peripherals/Pins columns show the functional pins resulting from the PINMUX setting. In  
Major Configuration C, the user gets EMIFA address pins EM_A[12:5] from Sub-Block 3.  
130  
Device Configurations  
Submit Documentation Feedback  
 
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
3.7.3.13.7 EMIFA/VPSS Block Pin-By-Pin Multiplexing Summary  
This section summarizes the EMIFA/VPSS Block muxing on a pin-by-pin basis. It provides an alternative  
view to pin muxing in the EMIFA/VPSS Block. This section should only be used after following the  
procedures listed in Section 3.7.3.13.1 to determine the actual EMIFA/VPSS Configuration Option for the  
application need.  
Table 3-50 shows the pin multiplexing control for each pin in the EMIFA/VPSS Sub-Block 0. These are the  
fields in the PINMUX0 and PINMUX1 registers that control the multiplexing in this sub-block:  
PINMUX0: AEM and AEAW  
PINMUX1: PCIEN  
Table 3-51 shows the pin multiplexing control for each pin in the EMIFA/VPSS Sub-Block 1. These are the  
fields in the PINMUX0 register that control the multiplexing in this sub-block:  
PINMUX0: AEM, VENCSEL, RGBSEL, CS5SEL, CS4SEL, CS3SEL, VPBECKEN  
EMIFA/VPSS Sub-Block 2 is dedicated to EMIFA pins EM_WAIT/(RDY/BSY), EM_OE, and EM_WE.  
There is no pin multiplexing in this block. These pins always function as EMIFA control pins.  
Table 3-52 shows the pin multiplexing control for each pin in the EMIFA/VPSS Sub-Block 3. These are the  
fields in the PINMUX0 and PINMUX1 registers that control the multiplexing in this sub-block:  
PINMUX0: AEM  
PINMUX1: PCIEN  
Table 3-50. EMIFA/VPSS Sub-Block 0 Pin-By-Pin Mux Control  
MULTIPLEXED FUNCTIONS  
EMIFA ADDR/CTRL  
(AEM[2:0] = 1, 3)  
EMIFA DATA  
(AEM[2:0] = 3, 4)  
SIGNAL NAME  
PCI  
GPIO  
FUNCTION  
SELECT  
FUNCTION  
SELECT  
FUNCTION  
SELECT  
FUNCTION  
GP[54]  
GP[43]  
GP[42]  
GP[41]  
GP[40]  
GP[39]  
GP[38]  
GP[37]  
GP[36]  
GP[53]  
GP[52]  
GP[51]  
SELECT  
GP[54]  
GP[43]  
GP[42]  
GP[41]  
GP[40]  
GP[39]  
GP[38]  
GP[37]  
GP[36]  
GP[53]  
GP[52]  
EM_A[13]/AD25/EM_D[0]/GP[51]  
EM_A[14]/AD27/EM_D[1]/GP[50]  
EM_A[13]  
PCIEN = 0,  
EM_D[0]  
PCIEN = 0,  
AEM = 3/4,  
AD25  
PCIEN = 1,  
AEM = 0/5,  
PCIEN = 0,  
AEM = 0/5,  
AEM = 1(1)  
,
AEAW = 4  
AEAW = N/A(1)  
AEAW = N/A(1)  
AEAW = N/A(1)  
EM_A[14]  
EM_A[15]  
EM_A[16]  
EM_A[17]  
EM_A[18]  
EM_A[19]  
EM_A[20]  
EM_D[1]  
EM_D[2]  
EM_D[3]  
EM_D[4]  
EM_D[5]  
EM_D[6]  
EM_D[7]  
AD27  
AD29  
PGNT  
AD31  
PRST  
PREQ  
PINTA  
GP[50]  
GP[49]  
GP[48]  
GP[47]  
GP[46]  
GP[45]  
GP[44]  
EM_A[15]/AD29/EM_D[2]/GP[49]  
EM_A[16]/PGNT/EM_D[3]/GP[48]  
PCIEN = 0,  
PCIEN = 0,  
AEM = 3/4,  
PCIEN = 1,  
AEM = 0/5,  
PCIEN = 0,  
AEM = 0/5,  
AEM = 1(1)  
,
AEAW = 4  
AEAW = N/A(1)  
AEAW = N/A(1)  
AEAW = N/A(1)  
EM_A[17]/AD31/EM_D[4]/GP[47]  
EM_A[18]/PRST/EM_D[5]/GP[46]  
PCIEN = 0,  
PCIEN = 0,  
AEM = 3/4,  
PCIEN = 1,  
AEM = 0/5,  
PCIEN = 0,  
AEM = 0/5,  
AEM = 1(1)  
,
AEAW = 4  
AEAW = N/A(1)  
AEAW = N/A(1)  
AEAW = N/A(1)  
EM_A[19]/PREQ/EM_D[6]/GP[45]  
EM_A[20]/PINTA/EM_D[7]/GP[44]  
PCIEN = 0,  
PCIEN = 0,  
AEM = 3/4,  
PCIEN = 1,  
AEM = 0/5,  
PCIEN = 0,  
AEM = 0/1/5,  
AEAW = N/A(1)  
AEM = 1(1)  
,
AEAW = 4  
AEM = 1/3  
AEM = 1  
AEAW = N/A(1)  
AEAW = N/A(1)  
EM_R/W/GP[35]  
EM_A[21]/GP[34]  
EM_R/W  
EM_A[21]  
GP[35]  
GP[34]  
AEM = 0/4/5  
AEM = 0/3/4/5  
(1) For AEM = 1, AEAW[2:0] must be set to 100b. For AEM = 0,3,4,5, the AEAW[2:0] setting is "don't care".  
Submit Documentation Feedback  
Device Configurations  
131  
 
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
Table 3-51. EMIFA/VPSS Sub-Block 1 Pin-By-Pin Mux Control  
MULTIPLEXED FUNCTIONS  
SIGNAL  
NAME  
VPBE  
EXTRA FUNCTIONS  
VPBE  
EMIFA  
FUNCTION  
GPIO  
FUNCTION  
HSYNC  
VSYNC  
VPBECLK  
VCLK  
SELECT  
FUNCTION SELECT  
SELECT  
FUNCTION  
GP[33]  
GP[32]  
GP[30]  
GP[31]  
GP[29]  
GP[28]  
GP[27]  
GP[26]  
GP[25]  
GP[24]  
GP[23]  
GP[22]  
GP[21]  
GP[20]  
GP[19]  
GP[18]  
GP[17]  
GP[16]  
GP[15]  
GP[14]  
GP[13]  
GP[12]  
SELECT  
CS5SEL = 0  
CS4SEL = 0  
VPBECKEN = 0  
VENCSEL = 0  
HSYNC/EM_CS5/GP[33]  
VSYNC/EM_CS4/GP[32]  
VPBECLK/GP[30]  
CS5SEL = 2  
CS4SEL = 2  
EM_CS5  
CS5SEL = 1  
EM_CS4  
CS4SEL = 1  
VPBECKEN = 1  
VENCSEL = 1/2  
VCLK/GP[31]  
YOUT7/GP[29]  
YOUT7  
YOUT6  
YOUT5  
YOUT4  
YOUT3  
YOUT2  
YOUT1  
YOUT0  
COUT7  
COUT6  
COUT5  
COUT4  
COUT3  
COUT2  
COUT1  
COUT0  
LCD_OE  
G0  
YOUT6/GP[28]  
YOUT5/GP[27]  
YOUT4/GP[26]/(FASTBOOT)  
YOUT3/GP[25]/(BOOTMODE3)  
YOUT2/GP[24]/(BOOTMODE2)  
YOUT1/GP[23]/(BOOTMODE1)  
YOUT0/GP[22]/(BOOTMODE0)  
COUT7/EM_D[7]/GP[21]  
COUT6/EM_D[6]/GP[20]  
COUT5/EM_D[5]/GP[19]  
COUT4/EM_D[4]/GP[18]  
COUT3/EM_D[3]/GP[17]  
COUT2/EM_D[2]/GP[16]  
COUT1/EM_D[1]/GP[15]  
COUT0/EM_D[0]/GP[14]  
LCD_OE/EM_CS3/GP[13]  
G0/EM_CS2/GP[12]  
VENCSEL = 2,  
AEM = 0/3/4  
EM_D[7]  
EM_D[6]  
EM_D[5]  
EM_D[4]  
EM_D[3]  
EM_D[2]  
EM_D[1]  
EM_D[0]  
EM_CS3  
EM_CS2  
VENCSEL =  
0/1,  
AEM = 1/5  
VENCSEL =  
0/1,  
AEM = 0/3/4  
CS3SEL = 2  
CS3SEL = 1  
RGBSEL =  
CS3SEL = 0  
G1/EM_A[1]/(ALE)/  
GP[9]/(AEAW1/PLLMS1)  
RGBSEL =  
0/1/2/3,  
AEM = 0  
G1  
B1  
EM_A[1]/(ALE)  
GP[9]  
0/1(1)  
,
AEM = 1/3/4/5  
B1/EM_A[2]/(CLE)/GP[8]/  
(AEAW0/PLLMS0)  
EM_A[2]/(CLE)  
EM_A[3]  
GP[8]  
RGBSEL = 4,  
AEM = 0  
LCD_FIELD  
RGBSEL =  
GP[11]  
RGBSEL =  
B0/LCD_FIELD/  
EM_A[3]/GP[11]  
B0  
R0  
1/3(1)  
,
0/2(1)  
,
AEM = 0/4/5  
AEM = 0/4/5  
R0/EM_A[4]/GP[10]/  
(AEAW2/PLLMS2)  
RGBSEL =  
EM_A[4]  
GP[10]  
RGBSEL = 0,  
AEM = 1/3  
0/1/2/3(1)  
,
AEM = 0/4/5  
R1/EM_A[0]/GP[7]/(AEM2)  
R2/EM_BA[0]/GP[6]/(AEM1)  
R1  
R2  
EM_A[0]  
GP[7]  
GP[6]  
RGBSEL =  
2/3/4,  
AEM = 0  
EM_BA[0]  
RGBSEL = 0/1,  
AEM = 0/4/5  
B2/EM_BA[1]/GP[5]/(AEM0)  
B2  
EM_BA[1]  
GP[5]  
(1) Valid RGBSEL settings depend on AEM mode:  
RGBSEL = 0 is valid for AEM[2:0] = 0/1/3/4/5  
RGBSEL = 1 is only valid if AEM[2:0] = 0/4/5  
RGBSEL = 2/3/4 is only valid if AEM[2:0] = 0  
Table 3-52. EMIFA/VPSS Sub-Block 3 Pin-By-Pin Mux Control  
MULTIPLEXED FUNCTIONS  
SIGNAL  
NAME  
EMIFA  
PCI  
GPIO  
FUNCTION  
EM_A[12]  
EM_A[11]  
EM_A[10]  
EM_A[9]  
EM_A[8]  
EM_A[7]  
EM_A[6]  
EM_A[5]  
SELECT  
FUNCTION  
PCBE3  
AD24  
SELECT  
FUNCTION  
GP[89]  
GP[90]  
GP[91]  
GP[92]  
GP[93]  
GP[94]  
GP[95]  
GP[96]  
SELECT  
EM_A[12]/PCBE3/GP[89]  
EM_A[11]/AD24/GP[90]  
EM_A[10]/AD23/GP[91]  
EM_A[9]/PIDSEL/GP[92]  
EM_A[8]/AD21/GP[93]  
EM_A[7]/AD22/GP[94]  
EM_A[6]/AD20/GP[95]  
EM_A[5]/AD19/GP[96]  
AD23  
PIDSEL  
AD21  
PCIEN = 0,  
AEM = 1/3  
PCIEN = 1,  
AEM = 0/5  
PCIEN = 0,  
AEM = 0/4/5  
AD22  
AD20  
AD19  
132  
Device Configurations  
Submit Documentation Feedback  
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
3.8 Device Initialization Sequence After Reset  
Software should follow this initialization sequence after coming out of device reset.  
1. Complete the boot sequence as needed. For more details on the boot sequence, see the Using the  
TMS320DM643x Bootloader Application Report (literature number SPRAAG0).  
2. If the device is not already at the desired operating frequency, program the PLL Controllers (PLLC1  
and PLLC2) to configure the device frequency. For details on how to program the PLLC, see the  
TMS320DM643x DMP DSP Subsystem Reference Guide (literature number SPRU978).  
3. Program PINMUX0 and PINMUX1 registers to select device pin functions. For more details on  
programming the PINMUX0 and PINMUX1 registers to select device pin functions, see Section 3.7,  
Multiplexed Pin Configurations.  
Note: If EMAC operation is desired, the EMAC must be placed in reset before programming  
PINMUX1.HOSTBK to select EMAC pins.  
4. Program the VDD3P3V_PWDN register to power up the necessary I/O pins. For more details on  
programming the VDD3P3V_PWDN register, see Section 3.2, Power Considerations.  
5. As needed by the application, program the following System Module registers when there are no active  
transactions on the respective peripherals:  
a. HPICTL (Section 3.6.2.1, HPI Control Register): applicable for HPI only if a different host burst  
write timeout value from default is desired.  
b. TIMERCTL (Section 3.6.2.2, Timer Control Register): applicable for Timer0 and Watchdog Timer2  
only.  
c. EDMATCCFG (Section 3.6.2.3, EDMA TC Configuration Register): applicable for EDMA only. The  
recommendation is to leave the EDMATCCFG register at its default.  
d. VPSS_CLKCTL (Section 3.3.1.2.1, VPSS Clocks): applicable for VPSS only.  
6. Program the Power and Sleep Controller (PSC) to enable the desired peripherals. For details on how  
to program the PSC, see the TMS320DM643x DMP DSP Subsystem Reference Guide (literature  
number SPRU978).  
7. Program the Switched Central Resource (SCR) bus priorities for the master peripherals  
(Section 3.6.1). This must be configured when there are no active transactions on the respective  
peripherals:  
a. Program the MSTPRI0 and MSTPRI1 registers in the System Module. These registers can be  
programmed before or after the respective peripheral is enabled by the PSC in step 6.  
b. Program the EDMACC QUEPRI register, the C64x+ MDMAARBE.PRI field, and the VPSS PCR  
register. These registers can only be programmed after the respective peripheral is enabled by the  
PSC in step 6.  
8. Configure the C64x+ Megamodule and the peripherals.  
a. For details on C64x+ Megamodule configuration, see the TMS320C64x+ DSP Megamodule  
Reference Guide (literature number SPRU871).  
Special considerations: Bootloader disables C64x+ cache—For all boot modes that default to  
DSPBOOTADDR = 0x0010 0000 (i.e., all boot modes except the EMIFA ROM Direct Boot,  
BOOTMODE[3:0] = 0100, FASTBOOT = 0), the bootloader code disables all C64x+ cache (L2,  
L1P, and L1D) so that upon exit from the bootloader code, all C64x+ memories are configured as  
all RAM (L2CFG.L2MODE = 0h, L1PCFG.L1PMODE = 0h, and L1DCFG.L1DMODE = 0h). If cache  
use is required, the application code must explicitly enable the cache. For more information on boot  
modes, see Section 3.4.1, Boot Modes. For more information on the bootloader, see the Using the  
TMS320DM643x Bootloader Application Report (literature number SPRAAG0).  
Submit Documentation Feedback  
Device Configurations  
133  
 
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
b. Peripherals configuration: see the respective peripheral user’s guide.  
Special considerations: DDR2 memory controller—the Peripheral Bus Burst Priority Register  
(PBBPR) should be programmed to ensure good DDR2 throughput and to prevent command  
starvation (prevention of certain commands from being processed by the DDR2 memory controller).  
For more details, see the TMS320DM643x DMP DDR2 Memory Controller User’s Guide (literature  
number SPRU986). A hex value of 0x20 is recommended for the PBBPR PR_OLD_COUNT field to  
provide a good DSP performance and still allow good utilization by other modules.  
134  
Device Configurations  
Submit Documentation Feedback  
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
3.9 Debugging Considerations  
3.9.1 Pullup/Pulldown Resistors  
Proper board design should ensure that input pins to the DM643x DMP device always be at a valid logic  
level and not floating. This may be achieved via pullup/pulldown resistors. The DM643x DMP features  
internal pullup (IPU) and internal pulldown (IPD) resistors on most pins to eliminate the need, unless  
otherwise noted, for external pullup/pulldown resistors.  
An external pullup/pulldown resistor needs to be used in the following situations:  
Boot and Configuration Pins: If the pin is both routed out and 3-stated (not driven), an external  
pullup/pulldown resistor is strongly recommended, even if the IPU/IPD matches the desired  
value/state.  
Other Input Pins: If the IPU/IPD does not match the desired value/state, use an external  
pullup/pulldown resistor to pull the signal to the opposite rail.  
EMIFA Chip Select Outputs: On DM6433, the EMIFA chip select pins (EM_CS2, EM_CS3, EM_CS4,  
and EM_CS5) feature an internal pulldown (IPD) resistor. If these pins are connected and used as an  
EMIFA chip select signal, for proper device operation, an external pullup resistor must be used to  
ensure the EM_CSx function defaults to an inactive (high) state.  
For the boot and configuration pins (listed in Table 2-5, Boot Terminal Functions), if they are both routed  
out and 3-stated (not driven), it is strongly recommended that an external pullup/pulldown resistor be  
implemented. Although, internal pullup/pulldown resistors exist on these pins and they may match the  
desired configuration value, providing external connectivity can help ensure that valid logic levels are  
latched on these device boot and configuration pins. In addition, applying external pullup/pulldown  
resistors on the boot and configuration pins adds convenience to the user in debugging and flexibility in  
switching operating modes.  
Tips for choosing an external pullup/pulldown resistor:  
Consider the total amount of current that may pass through the pullup or pulldown resistor. Make sure  
to include the leakage currents of all the devices connected to the net, as well as any internal pullup or  
pulldown resistors.  
Decide a target value for the net. For a pulldown resistor, this should be below the lowest VIL level of  
all inputs connected to the net. For a pullup resistor, this should be above the highest VIH level of all  
inputs on the net. A reasonable choice would be to target the VOL or VOH levels for the logic family of  
the limiting device; which, by definition, have margin to the VIL and VIH levels.  
Select a pullup/pulldown resistor with the largest possible value; but, which can still ensure that the net  
will reach the target pulled value when maximum current from all devices on the net is flowing through  
the resistor. The current to be considered includes leakage current plus, any other internal and  
external pullup/pulldown resistors on the net.  
For bidirectional nets, there is an additional consideration which sets a lower limit on the resistance  
value of the external resistor. Verify that the resistance is small enough that the weakest output buffer  
can drive the net to the opposite logic level (including margin).  
Remember to include tolerances when selecting the resistor value.  
For pullup resistors, also remember to include tolerances on the DVDD rail.  
For most systems, a 1-kresistor can be used to oppose the IPU/IPD while meeting the above criteria.  
Users should confirm this resistor value is correct for their specific application.  
For most systems, a 20-kresistor can be used to compliment the IPU/IPD on the boot and configuration  
pins while meeting the above criteria. Users should confirm this resistor value is correct for their specific  
application.  
For more detailed information on input current (II), and the low-/high-level input voltages (VIL and VIH) for  
the DM643x DMP, see Section 5.3, Electrical Characteristics Over Recommended Ranges of Supply  
Voltage and Operating Temperature.  
Submit Documentation Feedback  
Device Configurations  
135  
 
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
For the internal pullup/pulldown resistors for all device pins, see the peripheral/system-specific terminal  
functions table.  
136  
Device Configurations  
Submit Documentation Feedback  
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
4 System Interconnect  
On the DM6433 device, the C64x+ Megamodule, the EDMA3 transfer controllers, and the system  
peripherals are interconnected through a switch fabric architecture (see Figure 4-1). The switch fabric is  
composed of multiple switched central resources (SCRs) and multiple bridges. The SCRs establish  
low-latency connectivity between master peripherals and slave peripherals. Additionally, the SCRs provide  
priority-based arbitration and facilitate concurrent data movement between master and slave peripherals.  
Through an SCR, the DSP subsystem can send data to the DDR2 Memory Controller without affecting a  
data transfer between the EMAC and L2 memory. Bridges are mainly used to perform bus-width  
conversion as well as bus operating frequency conversion. For example, in Figure 4-1, Bridge 6 performs  
a frequency conversion between a bus operating at DSP/3 clock rate and a bus operating at DSP/6 clock  
rate. Furthermore, Bridge 5 performs a bus-width conversion between a 64-bit bus and a 32-bit bus.  
The C64x+ Megamodule, the EDMA3 transfer controllers (EDMA3TC[2:0]), and the various system  
peripherals can be classified into two categories: master peripherals and slave peripherals. Master  
peripherals are typically capable of initiating read and write transfers in the system and do not rely on the  
EDMA3 or on the CPU to perform transfers to and from them. The system master peripherals include the  
C64x+ Megamodule, the EDMA3 transfer controllers, VLYNQ, EMAC, HPI, PCI, and VPSS. Not all master  
peripherals may connect to all slave peripherals. The supported connections are designated by "Y" in  
Table 4-1.  
Table 4-1. System Connection Matrix  
SLAVE PERIPHERALS/MODULES  
MASTER  
PERIPHERALS/MODULES  
DDR2  
MEMORY  
CONTROLLER  
C64x+  
SDMA  
PCI  
SCR2, SCR6,  
SCR4(1)  
(MASTER BACK-END I/F)  
SCR7, SCR8(1)  
C64x+ MDMA  
Y
Y
Y
Y
Y
Y
Y
Y
VPSS  
PCI (SLAVE BACK-END I/F)  
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
VLYNQ  
EMAC  
HPI  
EDMA3TC's  
(EDMA3TC2/TC1/TC0)  
Y
Y
Y
Y
Y
Y
Y
C64x+ CFG  
(1) All the peripherals/modules that support a connection to SCR2, SCR4, SCR6, SCR7, and SCR8 have access to all peripherals/modules  
connected to those respective SCRs.  
4.1 System Interconnect Block Diagram  
Figure 4-1 displays the DM6433 system interconnect block diagram. The following is a list that helps in  
the interpretation of this diagram:  
The direction of the arrows indicates either a bus master or bus slave.  
The arrow originates at a bus master and terminates at a bus slave.  
The direction of the arrows does not indicate the direction of data flow. Data flow is typically  
bi-directional for each of the documented bus paths.  
The pattern of each arrow's line indicates the clock rate at which it is operating— i.e., either DSP/3,  
DSP/6, or MXI/CLKIN clock rate.  
A peripheral may have multiple instances shown in Figure 4-1 for the following reason:  
The peripheral/module has master port(s) for data transfers, as well as slave port(s) for register  
access, data access, and/or memory access. Examples of these peripherals are C64X+  
Megamodule, EDMA3, VPSS, VLYNQ, HPI, EMAC, and PCI.  
Submit Documentation Feedback  
System Interconnect  
137  
 
 
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
MXI/CLKIN Clock Rate  
DSP/6 Clock Rate  
DSP/6 Clock Rate  
32  
32  
32  
UART0  
I2C  
Bridge 8  
DDR2 Memory  
Controller  
(Memory/Register)  
32  
64  
64  
64  
VLYNQ  
EMAC  
HPI  
32  
32  
32  
32  
32  
64  
Bridge 2  
32  
32  
32  
32  
SCR 5  
HPI  
PWM0  
PWM1  
PWM2  
Timer0  
Timer1  
Timer2  
32  
64x+  
L2/L1  
VPSS Reg  
EMAC Reg  
SCR 2  
32  
32  
32  
32  
32  
32  
32  
32  
32  
PCI  
(DSP Slave I/F)  
32  
64  
64  
Bridge 1  
32  
32  
PCI  
(DSP Master I/F)  
Bridge 7  
EMAC Control  
Module Reg  
32  
32  
VPSS  
EMAC Control  
Module RAM  
SCR 6  
64  
64  
Read  
64  
64  
Bridge 5  
Bridge 4  
MDIO  
EDMA3TC0  
EDMA3TC1  
EDMA3TC2  
SCR 1  
Write  
Read  
Write  
Read  
Write  
32  
64  
GPIO  
64  
64  
64  
32  
32  
System Reg  
PSC  
32  
32  
Bridge 6  
PLLC1  
PLLC2  
64  
Bridge 3  
SCR 3  
32  
PCI Reg  
L2 Cache  
32  
32  
EMIFA  
VLYNQ  
SCR 4  
32  
SCR 7  
64  
32  
EDMA3CC  
64x+  
EDMA3TC0  
EDMA3TC1  
EDMA3TC2  
32  
32  
McBSP0  
McASP0  
32  
SCR 8  
32  
DSP/3 Clock Rate  
DSP/3 Clock Rate  
DSP/6 Clock Rate  
MXI/CLKIN Clock Rate  
Figure 4-1. System Interconnect Block Diagram  
138  
System Interconnect  
Submit Documentation Feedback  
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
5 Device Operating Conditions  
5.1 Absolute Maximum Ratings Over Operating Temperature Range (Unless Otherwise  
Noted)(1)  
(2) (3)  
Supply voltage ranges:  
Input voltage ranges:  
Output voltage ranges:  
Core (CVDD, VDDA_1P1V  
)
–0.5 V to 1.5 V  
–0.5 V to 4.2 V  
(3)  
I/O, 3.3V (DVDD33  
)
(3)  
I/O, 1.8V (DVDDR2, DDR_VDDDLL, PLLPWR18, VDDA_1P8V, MXVDD  
VI I/O, 3.3-V pins (except PCI-capable pins)  
VI I/O, 3.3-V pins PCI-capable pins  
VI I/O, 1.8 V  
)
–0.5 to 2.5 V  
–0.5 V to 4.2 V  
–0.5 V to DVDD33 + 0.5 V  
–0.5 V to 2.5 V  
VO I/O, 3.3-V pins (except PCI-capable pins)  
VO I/O, 3.3-V pins PCI-capable pins  
VO I/O, 1.8 V  
–0.5 V to 4.2 V  
–0.5 V to DVDD33 + 0.5 V  
–0.5 V to 2.5 V  
Operating Junction temperature  
ranges, TJ:  
Commercial  
0°C to 90°C  
Automotive (Q or S suffix)  
–40°C to 125°C  
–65°C to 150°C  
Storage temperature range, Tstg  
(default)  
(1) Stresses beyond those listed under "absolute maximum ratings" may cause permanent damage to the device. These are stress ratings  
only, and functional operation of the device at these or any other conditions beyond those indicated under "recommended operating  
conditions" is not implied. Exposure to absolute-maximum-rated conditions for extended periods may affect device reliability.  
(2) Based on JESD22-C101C (Field-Induced Charged-Device Model Test Method for Electrostatic-Discharge-Withstand Thresholds of  
Microelectronic Components) testing the TMS320DM643xZDU device’s charged-device model (CDM) sensitivity classification is Class II  
(200 to <500 V) when subjected to the required 3 discharges. When subjected to one discharge (+ and -), the classification is Class III  
which is the standard Texas Instruments' CDM design goal. All pins except the VDDA_1P1V (T20) pin associated with the DAC module  
demonstrate Class III performance.  
(3) All voltage values are with respect to VSS.  
Submit Documentation Feedback  
Device Operating Conditions  
139  
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
5.2 Recommended Operating Conditions(1)  
MIN  
NOM  
MAX  
UNIT  
(-7/-6/-5/-4/-L/-Q6/-Q5/-Q4  
devices)  
1.14  
1.2  
1.26  
V
Supply voltage, Core (CVDD  
,
CVDD  
DVDD  
(2)  
VDDA_1P1V  
)
(-7/-6/-5/-4/-L/-Q5 devices)  
1.0  
1.05  
3.3  
1.1  
V
V
Supply voltage, I/O, 3.3V (DVDD33  
)
2.97  
3.63  
Supply voltage, I/O, 1.8V (DVDDR2, DDR_VDDDLL, PLLPWR18, VDDA_1P8V  
,
1.71  
1.8  
1.89  
V
(3)  
MXVDD  
)
(4)  
VSS  
Supply ground (VSS, VSSA_1P8V, VSSA_1P1V, DDR_VSSDLL, MXVSS  
DDR2 reference voltage(5)  
)
0
0
0.5DVDDR2  
VSS  
0
V
V
DDR_VREF  
DDR_ZP  
DDR_ZN  
DAC_VREF  
DAC_RBIAS  
0.49DVDDR2  
0.51DVDDR2  
DDR2 impedance control, connected via 200 resistor to VSS  
V
DDR2 impedance control, connected via 200 resistor to DVDDR2  
DAC reference voltage input  
DVDDR2  
0.5  
V
0.475  
0.525  
V
DAC biasing, connected via 4 kresistor to VSSA_1P8V  
High-level input voltage, 3.3V (except PCI-capable and I2C pins)  
High-level input voltage, MXI/ CLKIN  
VSSA_1P8V  
V
2
0.65MXVDD  
0.5DVDD33  
0.7DVDD33  
V
V
VIH  
High-level input voltage, PCI  
DVDD33 + 0.5  
V
High-level input voltage, I2C  
V
Low-level input voltage, 3.3V (except PCI-capable and I2C pins)  
Low-level input voltage, MXI/ CLKIN  
0.8  
0.35MXVDD  
0.3DVDD33  
0.3DVDD33  
90  
V
V
VIL  
Low-level input voltage, PCI  
–0.5  
0
V
Low-level input voltage, I2C  
V
Commercial  
Operating Junction temperature(6)(7)  
Automotive (Q or S suffix)  
0
°C  
°C  
°C  
°C  
MHz  
MHz  
MHz  
MHz  
MHz  
MHz  
MHz  
MHz  
MHz  
TJ  
–40  
0
125  
Commercial  
Operating Ambient temperature(7)  
Automotive (Q or S suffix)  
70  
TA  
-40  
85  
-7 devices  
700  
-Q6 devices  
660  
DSP Operating Frequency  
(SYSCLK1),  
CVDD = 1.2 V  
-6/ -L devices  
-5/-Q5 devices  
-4/-Q4 devices  
-7 devices  
600  
500  
(2)  
FSYSCLK1  
400  
560  
DSP Operating Frequency  
(SYSCLK1),  
CVDD = 1.05 V  
-6/-L devices  
-5/-Q5 devices  
-4 devices  
450  
400  
350  
(1) The actual voltage must be determined at device power-up, and not be changed dynamically during run-time.  
(2) Applies to "tape and reel" part number counterparts as well. For more information, see Section 2.8, Device and Development-Support  
Tool Nomenclature.  
(3) Oscillator 1.8 V power supply (MXVDD) can be connected to the same 1.8 V power supply as DVDDR2  
(4) Oscillator ground (MXVSS) must be kept separate from other grounds and connected directly to the crystal load capacitor ground.  
(5) DDR_VREF is expected to equal 0.5DVDDR2 of the transmitting device and to track variations in the DVDDR2  
.
.
(6) In the absence of a heat sink or direct thermal attachment on the top of the device, use the following formula to determine the device  
junction temperature: TJ = TC + (Power x PsiJT). Power and TC can be measured by the user. Section 7.1, Thermal Data for ZWT and  
Section 7.1.1, Thermal Data for ZDU provide the junction-to-package top (PSIJT) value based on airflow in the system. In the presence  
of a heat sink or direct thermal attachment on the top of the device, additional calculations and considerations must be taken into  
account. For more detailed information on thermal considerations, measurements, and calculations, see the Thermal Considerations for  
TMS320DM64xx, TMS320DM64x, and TMS320C6000 Devices Application Report (literature number SPRAAL9).  
(7) Applications must meet both the Operating Junction Temperature and Operating Ambient Temperature requirements. For more detailed  
information on thermal considerations, measurements, and calculations, see the Thermal Considerations for TMS320DM64xx,  
TMS320DM64x, and TMS320C6000 Devices Application Report (literature number SPRAAL9).  
140  
Device Operating Conditions  
Submit Documentation Feedback  
 
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
5.3 Electrical Characteristics Over Recommended Ranges of Supply Voltage and Operating  
Temperature (Unless Otherwise Noted)  
(1)  
PARAMETER  
TEST CONDITIONS  
MIN  
TYP  
MAX UNIT  
High-level output voltage (3.3V I/O except  
PCI-capable and I2C pins)  
DVDD33 = MIN, IOH = MAX  
2.4  
V
VOH  
High-level output voltage (3.3V I/O  
PCI-capable pins)  
(2)  
IOH = -0.5 mA, DVDD33 = 3.3 V  
DVDD33 = MIN, IOL = MAX  
0.9DVDD33  
V
Low-level output voltage (3.3V I/O except  
PCI-capable and I2C pins)  
0.4  
V
VOL  
Low-level output voltage (3.3V I/O  
PCI-capable pins)  
(2)  
IOH = 1.5 mA, DVDD33 = 3.3 V  
IO = 3 mA  
0.1DVDD33  
V
V
Low-level output voltage (3.3V I/O I2C pins)  
0
0.4  
VI = VSS to DVDD33 with internal pullup resistor  
50  
100  
250  
µA  
(4)  
Input current [DC] (except I2C and PCI  
capable pins)  
VI = VSS to DVDD33 with internal pulldown  
resistor  
–250  
–100  
–50  
µA  
(4)  
Input current [DC] (I2C)  
VI = VSS to DVDD33  
±10  
±50  
µA  
µA  
II(3)  
0 < VI < DVDD33 = 3.3 V without internal resistor  
0 < VI < DVDD33 = 3.3 V with internal pullup  
50  
250  
–50  
-8  
µA  
µA  
Input current (PCI capable pins) [DC](5)  
resistor  
(4)  
0 < VI < DVDD33 = 3.3 V with internal pulldown  
–250  
(4)  
resistor  
CLK_OUT0/PWM2/GPIO[84] and  
VLYNQ_CLOCK/PCICLK/GP[57]  
mA  
DDR2  
–13.4  
–0.5(2)  
-4  
mA  
mA  
mA  
IOH  
High-level output current [DC]  
PCI-capable pins  
All other peripherals  
CLK_OUT0/PWM2/GPIO[84] and  
VLYNQ_CLOCK/PCICLK/GP[57]  
8
mA  
DDR2  
13.4  
1.5(2)  
4
mA  
mA  
mA  
µA  
IOL  
Low-level output current [DC]  
I/O Off-state output current  
PCI-capable pins  
All other peripherals  
VO = DVDD33 or VSS; internal pull disabled  
VO = DVDD33 or VSS; internal pull enabled  
CVDD = 1.2 V, DSP clock = 700 MHz  
CVDD = 1.2 V, DSP clock = 660 MHz  
CVDD = 1.2 V, DSP clock = 600 MHz  
CVDD = 1.2 V, DSP clock = 500 MHz  
CVDD = 1.2 V, DSP clock = 400 MHz  
CVDD = 1.05 V, DSP clock = 560 MHz  
CVDD = 1.05 V, DSP clock = 450 MHz  
CVDD = 1.05 V, DSP clock = 400 MHz  
±50  
(6)  
IOZ  
±100  
597  
560  
524  
460  
392  
442  
372  
341  
µA  
mA  
mA  
mA  
mA  
mA  
mA  
mA  
mA  
ICDD  
Core (CVDD, VDDA_1P1V) supply current(7)  
(1) For test conditions shown as MIN, MAX, or NOM, use the appropriate value specified in the recommended operating conditions table.  
(2) These rated numbers are from the PCI Local Bus Specification Revision 2.3. The DC specifications and AC specifications are defined in  
Table 4-3 (DC Specifications for 3.3V Signaling) and Table 4-4 (AC Specifications for 3.3V Signaling), respectively.  
(3) II applies to input-only pins and bi-directional pins. For input-only pins, II indicates the input leakage current. For bi-directional pins, II  
indicates the input leakage current and off-state (Hi-Z) output leakage current.  
(4) Applies only to pins with an internal pullup (IPU) or pulldown (IPD) resistor.  
(5) PCI input leakage currents include Hi-Z output leakage for all bidirectional buffers with 3-state outputs.  
(6) IOZ applies to output-only pins, indicating off-state (Hi-Z) output leakage current.  
(7) Measured under the following conditions: 60% DSP CPU utilization doing typical activity (peripheral configurations, other housekeeping  
activities); DDR2 Memory Controller at 50% utilization (135 MHz), 50% writes, 32 bits, 50% bit switching; 2 MHz McBSP0 at 100%  
utilization and 50% switching; Timer0 at 100% utilization. At room temperature (25 C) for typical process ZWT devices. The actual  
current draw varies across manufacturing processes and is highly application-dependent. DM643x DMP devices are offered in two basic  
options: lower-power option and high-performance option. Low-power devices offer lower power consumption across temperature and  
voltage when compared with high-performance devices. However, high-performance devices offer higher operating speeds. For more  
details on core and I/O activity, high-performance and low-power device power consumption, as well as information relevant to board  
power supply design, see the TMS320DM643x Power Consumption Summary Application Report (literature number SPRAAO6).  
Submit Documentation Feedback  
Device Operating Conditions  
141  
 
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
Electrical Characteristics Over Recommended Ranges of Supply Voltage and Operating Temperature  
(Unless Otherwise Noted) (continued)  
(1)  
PARAMETER  
TEST CONDITIONS  
MIN  
TYP  
MAX UNIT  
DVDD = 3.3 V, CVDD = 1.2 V, DSP clock = 700  
MHz  
13  
mA  
DVDD = 3.3 V, CVDD = 1.2 V, DSP clock = 660  
MHz  
13  
13  
13  
13  
13  
13  
13  
94  
94  
93  
92  
91  
74  
73  
72  
mA  
mA  
mA  
mA  
mA  
mA  
mA  
mA  
mA  
mA  
mA  
mA  
mA  
mA  
mA  
DVDD = 3.3 V, CVDD = 1.2 V, DSP clock = 600  
MHz  
DVDD = 3.3 V, CVDD = 1.2 V, DSP clock = 500  
MHz  
IDDD  
3.3V I/O (DVDD33) supply current(7)  
DVDD = 3.3 V, CVDD = 1.2 V, DSP clock = 400  
MHz  
DVDD = 3.3 V, CVDD = 1.05 V, DSP clock = 560  
MHz  
DVDD = 3.3 V, CVDD = 1.05 V, DSP clock = 450  
MHz  
DVDD = 3.3 V, CVDD = 1.05 V, DSP clock = 400  
MHz  
DVDD = 1.8 V, CVDD = 1.2 V, DSP clock = 700  
MHz  
DVDD = 1.8 V, CVDD = 1.2 V, DSP clock = 660  
MHz  
DVDD = 1.8 V, CVDD = 1.2 V, DSP clock = 600  
MHz  
DVDD = 1.8 V, CVDD = 1.2 V, DSP clock = 500  
MHz  
,
1.8V I/O (DVDDR2, DDR_VDDDLL, PLLVPRW18  
VDDA_1P8V, MXVDD) supply current(7)  
IDDD  
DVDD = 1.8 V, CVDD = 1.2 V, DSP clock = 400  
MHz  
DVDD = 1.8 V, CVDD = 1.05 V, DSP clock = 560  
MHz  
DVDD = 1.8 V, CVDD = 1.05 V, DSP clock = 450  
MHz  
DVDD = 1.8 V, CVDD = 1.05 V, DSP clock = 400  
MHz  
CI  
Input capacitance  
Output capacitance  
5
5
pF  
pF  
Co  
142  
Device Operating Conditions  
Submit Documentation Feedback  
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
6 Peripheral Information and Electrical Specifications  
6.1 Parameter Information  
Tester Pin Electronics  
Data Sheet Timing Reference Point  
42  
3.5 nH  
Output  
Under  
Test  
Transmission Line  
Z0 = 50 Ω  
(see Note)  
Device Pin  
(see Note)  
4.0 pF  
1.85 pF  
NOTE: The data sheet provides timing at the device pin. For output timing analysis, the tester pin electronics and its transmission line effects must  
be taken into account. A transmission line with a delay of 2 ns can be used to produce the desired transmission line effect. The transmission  
line is intended as a load only. It is not necessary to add or subtract the transmission line delay (2 ns) from the data sheet timings.  
Input requirements in this data sheet are tested with an input slew rate of < 4 Volts per nanosecond (4 V/ns) at the device pin.  
Figure 6-1. Test Load Circuit for AC Timing Measurements  
The load capacitance value stated is only for characterization and measurement of AC timing signals. This  
load capacitance value does not indicate the maximum load the device is capable of driving.  
6.1.1 3.3-V Signal Transition Levels  
All input and output timing parameters are referenced to Vref for both "0" and "1" logic levels. For 3.3 V I/O,  
Vref = 1.5 V. For 1.8 V I/O, Vref = 0.9 V.  
V
ref  
Figure 6-2. Input and Output Voltage Reference Levels for AC Timing Measurements  
All rise and fall transition timing parameters are referenced to VIL MAX and VIH MIN for input clocks,  
VOLMAX and VOH MIN for output clocks.  
V
ref  
= V MIN (or V MIN)  
IH OH  
V
ref  
= V MAX (or V MAX)  
IL OL  
Figure 6-3. Rise and Fall Transition Time Voltage Reference Levels  
6.1.2 3.3-V Signal Transition Rates  
All timings are tested with an input edge rate of 4 volts per nanosecond (4 V/ns).  
6.1.3 Timing Parameters and Board Routing Analysis  
The timing parameter values specified in this data sheet do not include delays by board routings. As a  
good board design practice, such delays must always be taken into account. Timing values may be  
adjusted by increasing/decreasing such delays.  
Submit Documentation Feedback  
Peripheral Information and Electrical Specifications  
143  
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
TI recommends utilizing the available I/O buffer information specification (IBIS) models to analyze the  
timing characteristics correctly. To properly use IBIS models to attain accurate timing analysis for a given  
system, see the Using IBIS Models for Timing Analysis application report (literature number SPRA839). If  
needed, external logic hardware such as buffers may be used to compensate any timing differences.  
For the DDR2 memory controller interface, it is not necessary to use the IBIS models to analyze timing  
characteristics. TI provides a PCB routing rules solution that describes the routing rules to ensure the  
DDR2 memory controller interface timings are met. See the Implementing DDR2 PCB Layout on the  
TMS320DM643x DMP DMSoC Application Report (literature number SPRAAL6).  
6.2 Recommended Clock and Control Signal Transition Behavior  
All clocks and control signals must transition between VIH and VIL (or between VIL and VIH) in a monotonic  
manner.  
6.3 Power Supplies  
For more information regarding TI's power management products and suggested devices to power TI  
DSPs, visit www.ti.com/dsppower.  
6.3.1 Power-Supply Sequencing  
The DM6433 includes one core supply (CVDD), and two I/O supplies—DVDD33 and DVDDR2. To ensure  
proper device operation, a specific power-up sequence must be followed. Some TI power-supply devices  
include features that facilitate power sequencing—for example, Auto-Track and Slow-Start/Enable  
features. For more information on TI power supplies and their features, visit www.ti.com/dsppower.  
Here is a summary of the power sequencing requirements:  
The power ramp order must be DVDD33 before DVDDR2, and DVDDR2 before CVDD—meaning during  
power up, the voltage at the DVDDR2 rail should never exceed the voltage at the DVDD33 rail. Similarly,  
the voltage at the CVDD rail should never exceed the voltage at the DVDDR2 rail.  
From the time that power ramp begins, all power supplies (DVDD33, DVDDR2, CVDD) must be stable  
within 200 ms. The term "stable" means reaching the recommended operating condition (see  
Section 5.2, Recommended Operating Conditions table).  
6.3.2 Power-Supply Design Considerations  
Core and I/O supply voltage regulators should be located close to the DSP to minimize inductance and  
resistance in the power delivery path. Additionally, when designing for high-performance applications  
utilizing the DM6433 device, the PC board should include separate power planes for core, I/O, and  
ground; all bypassed with high-quality low-ESL/ESR capacitors.  
6.3.3 Power-Supply Decoupling  
In order to properly decouple the supply planes from system noise, place as many capacitors (caps) as  
possible close to the DSP. These caps need to be close to the DSP, no more than 1.25 cm maximum  
distance to be effective. Physically smaller caps are better, such as 0402, but need to be evaluated from a  
yield/manufacturing point-of-view. Parasitic inductance limits the effectiveness of the decoupling  
capacitors, therefore physically smaller capacitors should be used while maintaining the largest available  
capacitance value.  
Larger caps for each supply can be placed further away for bulk decoupling. Large bulk caps (on the order  
of 100 µF) should be furthest away, but still as close as possible. Large caps for each supply should be  
placed outside of the BGA footprint.  
As with the selection of any component, verification of capacitor availability over the product's production  
lifetime should be considered.  
For more details on capacitor usage and placement, see the Implementing DDR2 PCB Layout on the  
TMS320DM643x DMP DMSoC Application Report (literature number SPRAAL6).  
144  
Peripheral Information and Electrical Specifications  
Submit Documentation Feedback  
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
6.3.4 DM6433 Power and Clock Domains  
The DM6433 includes one single power domain — the "Always On" power domain. The "Always On"  
power domain is always on when the chip is on. The "Always On" domain is powered by the CVDD pins of  
the DM6433. All DM6433 modules lie within the "Always On" power domain. Table 6-1 provides a listing of  
the DM6433 clock domains.  
One primary reference clock is required for the DM6433 device. It can be either a crystal input or driven by  
external oscillators. A 27-MHz crystal is recommended for the PLLs, which generate the internal clocks for  
the digital media processor (DMP), peripherals, and EDMA3.  
The DM6433 architecture is divided into the power and clock domains shown in Table 6-1. Table 6-2 and  
Table 6-3 further discuss the clock domains and their ratios. Figure 6-4 shows the Clock Domain block  
diagram.  
Table 6-1. DM6433 Power and Clock Domains  
Power Domain  
Always On  
Always On  
Always On  
Always On  
Always On  
Always On  
Always On  
Always On  
Always On  
Always On  
Always On  
Always On  
Always On  
Always On  
Always On  
Always On  
Always On  
Always On  
Always On  
Always On  
Always On  
Always On  
Always On  
Always On  
Always On  
Always On  
Clock Domain  
CLKIN  
Peripheral/Module  
UART0  
I2C  
CLKIN  
CLKIN  
Timer0  
Timer1  
Timer2  
PWM0  
PWM1  
PWM2  
DDR2  
CLKIN  
CLKIN  
CLKIN  
CLKIN  
CLKIN  
CLKDIV3  
CLKDIV3  
CLKDIV3  
CLKDIV3  
CLKDIV3  
CLKDIV6  
CLKDIV6  
CLKDIV6  
CLKDIV6  
CLKDIV6  
CLKDIV6  
CLKDIV6  
CLKDIV6  
CLKDIV6  
CLKDIV6  
CLKDIV6  
CLKDIV6  
CLKDIV1  
VPSS  
EDMA  
PCI  
SCR  
GPSC  
LPSCs  
PLLC1  
PLLC2  
Ice Pick  
EMIFA  
HPI  
VLYNQ  
EMAC  
McASP0  
McBSP0  
GPIO  
C64x+ CPU  
Submit Documentation Feedback  
Peripheral Information and Electrical Specifications  
145  
 
 
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
Table 6-2. DM6433 Clock Domains  
DOMAIN CLOCK  
SOURCE  
FIXED RATIO vs.  
SYSCLK1 FREQUENCY  
EXAMPLE  
FREQUENCY (MHz)  
SUBSYSTEM  
CLOCK DOMAIN  
Peripherals (CLKIN Domain)  
DSP Subsystem  
CLKIN  
PLLC1 AUXCLK(1)  
PLLC1 SYSCLK1  
PLLC1 SYSCLK2  
PLLC1 SYSCLK2  
PLLC1 SYSCLK2  
PLLC1 SYSCLK3  
27 MHz  
CLKDIV1  
CLKDIV3  
CLKDIV3  
CLKDIV3  
CLKDIV6  
1:1  
1:3  
1:3  
1:3  
1:6  
594 MHz  
EDMA3  
198 MHz  
VPSS  
198 MHz  
Peripherals (CLKDIV3 Domain)  
Peripherals (CLKDIV6 Domain)  
198 MHz  
99 MHz  
(1) PLLC1 AUXCLK runs at exactly the same frequency as the device clock source from the MXI/CLKIN pin.  
The CLKDIV1:CLKDIV3:CLKDIV6 ratio must be strictly followed by programming the PLL Controller 1  
(PLLC1) PLLDIV1, PLLDIV2, and PLLDIV3 registers appropriately (see Table 6-3).  
Table 6-3. PLLC1 Programming for CLKDIV1, CLKDIV3, CLKDIV6 Domains  
CLKDIV1 DOMAIN  
(SYSCLK1)  
CLKDIV3 DOMAIN  
(SYSCLK2)  
CLKDIV6 DOMAIN  
(SYSCLK3)  
PLL1  
Divide-Down  
PLL1  
Divide-Down  
PLL1  
Divide-Down  
PLLDIV1.RATIO  
PLLDIV2.RATIO  
PLLDIV3.RATIO  
DIV1  
DIV2  
DIV3  
/1  
/2  
/3  
0
1
2
/3  
/6  
/9  
2
5
8
/6  
5
/12  
/18  
11  
17  
146  
Peripheral Information and Electrical Specifications  
Submit Documentation Feedback  
 
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
UART0  
I2C  
AUXCLK  
MXI/CLKIN  
(27 MHz)  
PWMs (x3)  
Timers (x3)  
OBSCLK  
(CLKOUT0 Pin)  
OSCDIV1 (/1)  
SYSCLK1  
PLLDIV1 (/1)  
PLLDIV3 (/6)  
PLLDIV2 (/3)  
DSP Subsystem  
SYSCLK3  
SYSCLK2  
HPI  
SCR  
EDMA  
PCI  
VLYNQ  
EMAC  
EMIFA  
McASP0  
McBSP0  
GPIO  
BPDIV (/1)  
PLL Controller 1  
VPFE Resizer  
VPBE  
VPBECLK  
DACs  
PLLDIV2 (/10)  
PLLDIV1 (/2)  
DDR2 PHY  
DDR2 VTP  
BPDIV  
DDR2 Mem Ctlr  
PLL Controller 2  
Figure 6-4. PLL1 and PLL2 Clock Domain Block Diagram  
For further detail on PLL1 and PLL2, see the structure block diagrams Figure 6-5 and Figure 6-6,  
respectively.  
Submit Documentation Feedback  
Peripheral Information and Electrical Specifications  
147  
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
CLKMODE  
PLLEN  
PLLOUT  
SYSCLK1  
(CLKDIV1 Domain)  
CLKIN  
1
PLLDIV1 (/1)  
PLLDIV2 (/3)  
PLLDIV3 (/6)  
PLL  
1
0
SYSCLK2  
(CLKDIV3 Domain)  
OSCIN  
0
SYSCLK3  
(CLKDIV6 Domain)  
PLLM  
AUXCLK  
(CLKIN Domain)  
SYSCLKBP  
(VPSS-VPBE  
Clock Source)  
BPDIV  
OBSCLK  
(CLKOUT0 Pin)  
OSCDIV1  
Figure 6-5. PLL1 Structure Block Diagram  
CLKMODE  
PLLEN  
PLLOUT  
CLKIN  
OSCIN  
1
0
PLL2_SYSCLK2  
(VPSS−VPBE)  
PLLDIV2 (/10)  
PLL  
1
0
PLL2_SYSCLK1  
(DDR2 PHY)  
PLLDIV1 (/2)  
PLLM  
PLL2_SYSCLKBP  
(DDR2 VTP)  
BPDIV  
Figure 6-6. PLL2 Structure Block Diagram  
148  
Peripheral Information and Electrical Specifications  
Submit Documentation Feedback  
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
6.3.5 Power and Sleep Controller (PSC)  
The Power and Sleep Controller (PSC) controls power by turning off unused power domains or by gating  
off clocks to individual peripherals/modules. The DM6433 device only utilizes the clock gating feature of  
the PSC for power savings. The PSC consists of a Global PSC (GPSC) and a set of Local PSCs (LPSCs).  
The GPSC contains memory mapped registers, PSC interrupt control, and a state machine for each  
peripheral/module. An LPSC is associated with each peripheral/module and provides clock and reset  
control. The LPSCs for DM6433 are shown in Table 6-4. The PSC Register memory map is given in  
Table 6-5. For more details on the PSC, see the TMS320DM643x DMP DSP Subsystem Reference Guide  
(literature number SPRU978).  
Submit Documentation Feedback  
Peripheral Information and Electrical Specifications  
149  
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
Table 6-4. DM6433 LPSC Assignments  
LPSC  
Peripheral/Module  
LPSC  
Peripheral/Module  
LPSC  
Peripheral/Module  
Number  
Number  
Number  
0
VPSS DMA  
VPSS MMR  
EDMACC  
14  
15  
16  
17  
18  
19  
EMIFA  
PCI  
28  
29  
30  
31  
32  
33  
34  
35  
36  
37  
38  
39  
40  
TIMER1  
1
Reserved  
Reserved  
Reserved  
Reserved  
Reserved  
Reserved  
Reserved  
Reserved  
Reserved  
Reserved  
C64x+ CPU  
Reserved  
2
McBSP0  
Reserved  
I2C  
3
EDMATC0  
EDMATC1  
EDMATC2  
4
5
UART0  
Reserved  
Reserved  
Reserved  
PWM0  
PWM1  
PWM2  
GPIO  
6
EMAC Memory Controller 20  
7
MDIO  
21  
22  
23  
24  
25  
26  
27  
8
EMAC  
9
McASP0  
10  
11  
12  
13  
Reserved  
VLYNQ  
HPI  
DDR2 Memory Controller  
TIMER0  
Table 6-5. PSC Register Memory Map  
REGISTER  
ACRONYM  
HEX ADDRESS RANGE  
0x01C4 1000  
DESCRIPTION  
PID  
Peripheral Revision and Class Information Register  
Reserved  
0x01C4 1004 - 0x01C4 100F  
0x01C4 1010  
GBLCTL  
Global Control Register  
0x01C4 1014  
Reserved  
0x01C4 1018  
INTEVAL  
Interrupt Evaluation Register  
Reserved  
0x01C4 101C - 0x01C4 103F  
0x01C4 1040  
MERRPR0  
Module Error Pending 0 (mod 0 - 31) Register  
Module Error Pending 1 (mod 32- 63) Register  
Reserved  
0x01C4 1044  
MERRPR1  
0x01C4 1048 - 0x01C4 104F  
0x01C4 1050  
MERRCR0  
Module Error Clear 0 (mod 0 - 31) Register  
Module Error Clear 1 (mod 32 - 63) Register  
Reserved  
0x01C4 1054  
MERRCR1  
0x01C4 1058 - 0x01C4 105F  
0x01C4 1060  
PERRPR  
Power Error Pending Register  
Reserved  
0x01C4 1064 - 0x01C4 1067  
0x01C4 1068  
PERRCR  
Power Error Clear Register  
Reserved  
0x01C4 106C - 0x01C4 111F  
0x01C4 1120  
PTCMD  
Power Domain Transition Command Register  
Reserved  
0x01C4 1124 - 0x01C4 1127  
0x01C4 1128  
PTSTAT  
Power Domain Transition Status Register  
Reserved  
0x01C4 112C - 0x01C4 11FF  
0x01C4 1200  
PDSTAT0  
Power Domain Status 0 Register (Always On)  
Reserved  
0x01C4 1204 - 0x01C4 12FF  
0x01C4 1300  
PDCTL0  
Power Domain Control 0 Register (Always On)  
Reserved  
0x01C4 1304 - 0x1C4 150F  
0x01C4 1510  
MCKOUT0  
Module Clock Output Status (mod 0-31) Register  
Module Clock Output Status (mod 32-63) Register  
Reserved  
0x01C4 1514  
MCKOUT1  
0x01C4 1518 - 0x01C4 15FF  
0x01C4 1600 - 0x01C4 17FF  
Reserved  
150  
Peripheral Information and Electrical Specifications  
Submit Documentation Feedback  
 
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
Table 6-5. PSC Register Memory Map (continued)  
REGISTER  
ACRONYM  
HEX ADDRESS RANGE  
DESCRIPTION  
0x01C4 1800  
0x01C4 1804  
0x01C4 1808  
0x01C4 180C  
0x01C4 1810  
0x01C4 1814  
0x01C4 1818  
0x01C4 181C  
0x01C4 1820  
0x01C4 1824  
0x01C4 1828  
0x01C4 182C  
0x01C4 1830  
0x01C4 1834  
0x01C4 1838  
0x01C4 183C  
0x01C4 1840  
0x01C4 1844  
0x01C4 1848  
0x01C4 184C  
0x01C4 1850  
0x01C4 1854  
0x01C4 1858  
0x01C4 185C  
0x01C4 1860  
0x01C4 1864  
0x01C4 1868  
0x01C4 186C  
0x01C4 1870  
0x01C4 1874 - 0x01C4 189B  
0x01C4 189C  
0x01C4 18A0  
0x01C4 18A4 - 0x01C4 19FF  
0x01C4 1A00  
0x01C4 1A04  
0x01C4 1A08  
0x01C4 1A0C  
0x01C4 1A10  
0x01C4 1A14  
0x01C4 1A18  
0x01C4 1A1C  
0x01C4 1A20  
0x01C4 1A24  
0x01C4 1A28  
0x01C4 1A2C  
0x01C4 1A30  
MDSTAT0  
MDSTAT1  
MDSTAT2  
MDSTAT3  
MDSTAT4  
MDSTAT5  
MDSTAT6  
MDSTAT7  
MDSTAT8  
MDSTAT9  
Module Status 0 Register (VPSS DMA)  
Module Status 1 Register (VPSS MMR)  
Module Status 2 Register (EDMACC)  
Module Status 3 Register (EDMATC0)  
Module Status 4 Register (EDMATC1)  
Module Status 5 Register (EMACTC2)  
Module Status 6 Register (EMAC Memory Controller)  
Module Status 7 Register (MDIO)  
Module Status 8 Register (EMAC)  
Module Status 9 Register (McASP0)  
Reserved  
MDSTAT11  
MDSTAT12  
MDSTAT13  
MDSTAT14  
MDSTAT15  
MDSTAT16  
Module Status 11 Register (VLYNQ)  
Module Status 12 Register (HPI)  
Module Status 13 Register (DDR2)  
Module Status 14 Register (EMIFA)  
Module Status 15 Register (PCI)  
Module Status 16 Register (McBSP0)  
Reserved  
MDSTAT18  
MDSTAT19  
Module Status 18 Register (I2C)  
Module Status 19 Register (UART0)  
Reserved  
Reserved  
Reserved  
MDSTAT23  
MDSTAT24  
MDSTAT25  
MDSTAT26  
MDSTAT27  
MDSTAT28  
Module Status 23 Register (PWM0)  
Module Status 24 Register (PWM1)  
Module Status 25 Register (PWM2)  
Module Status 26 Register (GPIO)  
Module Status 27 Register (TIMER0)  
Module Status 28 Register (TIMER1)  
Reserved  
MDSTAT39  
Module Status 39 Register (C64x+ CPU)  
Reserved  
MDCTL0  
MDCTL1  
MDCTL2  
MDCTL3  
MDCTL4  
MDCTL5  
MDCTL6  
MDCTL7  
MDCTL8  
MDCTL9  
Module Control 0 Register (VPSS DMA)  
Module Control 1 Register (VPSS MMR)  
Module Control 2 Register (EDMACC)  
Module Control 3 Register (EDMATC0)  
Module Control 4 Register (EDMATC1)  
Module Control 5 Register (EMACTC2)  
Module Control 6 Register (EMAC Memory Controller)  
Module Control 7 Register (MDIO)  
Module Control 8 Register (EMAC)  
Module Control 9 Register (McASP0)  
Reserved  
MDCTL11  
MDCTL12  
Module Control 11 Register (VLYNQ)  
Module Control 12 Register (HPI)  
Submit Documentation Feedback  
Peripheral Information and Electrical Specifications  
151  
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
Table 6-5. PSC Register Memory Map (continued)  
REGISTER  
ACRONYM  
HEX ADDRESS RANGE  
0x01C4 1A34  
DESCRIPTION  
MDCTL13  
MDCTL14  
MDCTL15  
MDCTL16  
Module Control 13 Register (DDR2)  
0x01C4 1A38  
Module Control 14 Register (EMIFA)  
Module Control 15 Register (PCI)  
Module Control 16 Register (McBSP0)  
Reserved  
0x01C4 1A3C  
0x01C4 1A40  
0x01C4 1A44  
0x01C4 1A48  
MDCTL18  
MDCTL19  
Module Control 18 Register (I2C)  
Module Control 19 Register (UART0)  
Reserved  
0x01C4 1A4C  
0x01C4 1A50  
0x01C4 1A54  
Reserved  
0x01C4 1A58  
Reserved  
0x01C4 1A5C  
MDCTL23  
MDCTL24  
MDCTL25  
MDCTL26  
MDCTL27  
MDCTL28  
Module Control 23 Register (PWM0)  
Module Control 24 Register (PWM1)  
Module Control 25 Register (PWM2)  
Module Control 26 Register (GPIO)  
Module Control 27 Register (TIMER0)  
Module Control 28 Register (TIMER1)  
Reserved  
0x01C4 1A60  
0x01C4 1A64  
0x01C4 1A68  
0x01C4 1A6C  
0x01C4 1A70  
0x01C4 1A74 - 0x01C4 1A9B  
0x01C4 1A9C  
MDCTL39  
Module Control 39 Register (C64x+ CPU)  
0x01C4 1AA0  
0x01C4 1AA4 - 0x01C4 1FFF  
Reserved  
152  
Peripheral Information and Electrical Specifications  
Submit Documentation Feedback  
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
6.4 Enhanced Direct Memory Access (EDMA3) Controller  
The EDMA controller handles all data transfers between memories and the device slave peripherals on  
the DM6433 device. These data transfers include cache servicing, non-cacheable memory accesses,  
user-programmed data transfers, and host accesses. These are summarized as follows:  
Transfer to/from on-chip memories  
DSP L1D memory  
DSP L2 memory  
Transfer to/from external storage  
DDR2 SDRAM  
NAND flash  
Asynchronous EMIF (EMIFA)  
Transfer to/from peripherals/hosts  
VLYNQ  
HPI  
McBSP0  
McASP0  
PWM  
UART0  
PCI  
The EDMA supports two addressing modes: constant addressing and increment addressing. On the  
DM6433, constant addressing mode is not supported by any peripheral or internal memory. For more  
information on these two addressing modes, see the TMS320DM643x DMP Enhanced Direct Memory  
Access (EDMA3) Controller User's Guide (literature number SPRU987).  
6.4.1 EDMA3 Channel Synchronization Events  
The EDMA supports up to 64 EDMA channels which service peripheral devices and external memory.  
Table 6-6 lists the source of EDMA synchronization events associated with each of the programmable  
EDMA channels. For the DM6433 device, the association of an event to a channel is fixed; each of the  
EDMA channels has one specific event associated with it. These specific events are captured in the  
EDMA event registers (ER, ERH) even if the events are disabled by the EDMA event enable registers  
(EER, EERH). For more detailed information on the EDMA module and how EDMA events are enabled,  
captured, processed, linked, chained, and cleared, etc., see the TMS320DM643x DMP Enhanced Direct  
Memory Access (EDMA3) Controller User's Guide (literature number SPRU987).  
Table 6-6. DM6433 EDMA Channel Synchronization Events(1)  
EDMA  
CHANNEL  
EVENT NAME  
EVENT DESCRIPTION  
0-1  
2
Reserved  
McBSP0 Transmit Event  
McBSP0 Receive Event  
Reserved  
XEVT0  
3
REVT0  
4
5
Reserved  
6
Reserved  
7
Reserved  
8
Reserved  
9
RSZEVT  
AXEVTE0  
VPSS Resizer Event  
McASP0 Transmit Event Even  
10  
(1) In addition to the events shown in this table, each of the 64 channels can also be synchronized with the transfer completion or alternate  
transfer completion events. For more detailed information on EDMA event-transfer chaining, see the Document Support section for the  
Enhanced Direct Memory Access (EDMA) Controller Reference Guide.  
Submit Documentation Feedback  
Peripheral Information and Electrical Specifications  
153  
 
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
Table 6-6. DM6433 EDMA Channel Synchronization Events (continued)  
EDMA  
CHANNEL  
EVENT NAME  
EVENT DESCRIPTION  
11  
12  
AXEVTO0  
AXEVT0  
AREVTE0  
AREVTO0  
AREVT0  
McASP0 Transmit Event Odd  
McASP0 Transmit Event  
McASP0 Receive Event Even  
McASP0 Receive Event Odd  
McASP0 Receive Event  
Reserved  
13  
14  
15  
16-21  
22  
URXEVT0  
UTXEVT0  
UART 0 Receive Event  
UART 0 Transmit Event  
Reserved  
23  
24  
25  
Reserved  
26  
Reserved  
27  
Reserved  
28  
ICREVT  
ICXEVT  
I2C Receive Event  
I2C Transmit Event  
Reserved  
29  
30-31  
32  
GPINT0  
GPINT1  
GPINT2  
GPINT3  
GPINT4  
GPINT5  
GPINT6  
GPINT7  
GPBNKINT0  
GPBNKINT1  
GPBNKINT2  
GPBNKINT3  
GPBNKINT4  
GPBNKINT5  
GPBNKINT6  
GPIO 0 Interrupt  
33  
GPIO 1 Interrupt  
34  
GPIO 2 Interrupt  
35  
GPIO 3 Interrupt  
36  
GPIO 4 Interrupt  
37  
GPIO 5 Interrupt  
38  
GPIO 6 Interrupt  
39  
GPIO 7 Interrupt  
40  
GPIO Bank 0 Interrupt  
GPIO Bank 1 Interrupt  
GPIO Bank 2 Interrupt  
GPIO Bank 3 Interrupt  
GPIO Bank 4 Interrupt  
GPIO Bank 5 Interrupt  
GPIO Bank 6 Interrupt  
Reserved  
41  
42  
43  
44  
45  
46  
47  
48  
TEVTL0  
TEVTH0  
TEVTL1  
TEVTH1  
PWM0  
Timer 0 Event Low Interrupt  
Timer 0 Event High Interrupt  
Timer 1 Event Low Interrupt  
Timer 1 Evemt High Interrupt  
PWM 0 Event  
49  
50  
51  
52  
53  
PWM1  
PWM 1 Event  
54  
PWM2  
PWM 2 Event  
55-63  
Reserved  
154  
Peripheral Information and Electrical Specifications  
Submit Documentation Feedback  
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
6.4.2 EDMA Peripheral Register Description(s)  
Table 6-7 lists the EDMA registers, their corresponding acronyms, and DM6433 device memory locations.  
Table 6-7. DM6433 EDMA Registers  
HEX ADDRESS  
ACRONYM  
Channel Controller Registers  
Reserved  
REGISTER NAME  
0x01C0 0000 - 0x01C0 0003  
0x01C0 0004  
CCCFG  
EDMA3CC Configuration Register  
Reserved  
0x01C0 0008 - 0x01C0 01FF  
Global Registers  
0x01C0 0200  
0x01C0 0204  
QCHMAP0  
QCHMAP1  
QCHMAP2  
QCHMAP3  
QCHMAP4  
QCHMAP5  
QCHMAP6  
QCHMAP7  
DMAQNUM0  
DMAQNUM1  
DMAQNUM2  
DMAQNUM3  
DMAQNUM4  
DMAQNUM5  
DMAQNUM6  
DMAQNUM7  
QDMAQNUM  
QDMA Channel 0 Mapping to PaRAM Register  
QDMA Channel 1 Mapping to PaRAM Register  
QDMA Channel 2 Mapping to PaRAM Register  
QDMA Channel 3 Mapping to PaRAM Register  
QDMA Channel 4 Mapping to PaRAM Register  
QDMA Channel 5 Mapping to PaRAM Register  
QDMA Channel 6 Mapping to PaRAM Register  
QDMA Channel 7 Mapping to PaRAM Register  
DMA Queue Number Register 0 (Channels 00 to 07)  
DMA Queue Number Register 1 (Channels 08 to 15)  
DMA Queue Number Register 2 (Channels 16 to 23)  
DMA Queue Number Register 3 (Channels 24 to 31)  
DMA Queue Number Register 4 (Channels 32 to 39)  
DMA Queue Number Register 5 (Channels 40 to 47)  
DMA Queue Number Register 6 (Channels 48 to 55)  
DMA Queue Number Register 7 (Channels 56 to 63)  
CC QDMA Queue Number  
0x01C0 0208  
0x01C0 020C  
0x01C0 0210  
0x01C0 0214  
0x01C0 0218  
0x01C0 021C  
0x01C0 0240  
0x01C0 0244  
0x01C0 0248  
0x01C0 024C  
0x01C0 0250  
0x01C0 0254  
0x01C0 0258  
0x01C0 025C  
0x01C0 0260  
0x01C0 0264 - 0x01C0 0283  
0x01C0 0284  
Reserved  
QUEPRI  
Queue Priority Register  
0x01C0 0288 - 0x01C0 02FF  
0x01C0 0300  
Reserved  
EMR  
Event Missed Register  
0x01C0 0304  
EMRH  
Event Missed Register High  
0x01C0 0308  
EMCR  
Event Missed Clear Register  
0x01C0 030C  
0x01C0 0310  
EMCRH  
QEMR  
Event Missed Clear Register High  
QDMA Event Missed Register  
0x01C0 0314  
QEMCR  
CCERR  
CCERRCLR  
EEVAL  
QDMA Event Missed Clear Register  
EDMA3CC Error Register  
0x01C0 0318  
0x01C0 031C  
0x01C0 0320  
EDMA3CC Error Clear Register  
Error Evaluate Register  
0x01C0 0340  
DRAE0  
DMA Region Access Enable Register for Region 0  
DMA Region Access Enable Register High for Region 0  
DMA Region Access Enable Register for Region 1  
DMA Region Access Enable Register High for Region 1  
Reserved  
0x01C0 0344  
DRAEH0  
DRAE1  
0x01C0 0348  
0x01C0 034C  
0x01C0 0350  
DRAEH1  
0x01C0 0354  
Reserved  
0x01C0 0358  
Reserved  
0x01C0 035C  
0x01C0 0360 - 0x01C0 037C  
0x01C0 0380  
Reserved  
Reserved  
QRAE0  
QDMA Region Access Enable Register for Region 0  
Submit Documentation Feedback  
Peripheral Information and Electrical Specifications  
155  
 
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
Table 6-7. DM6433 EDMA Registers (continued)  
HEX ADDRESS  
0x01C0 0384  
0x01C0 0388  
0x01C0 038C  
0x01C0 0390 - 0x01C0 039C  
0x01C0 0400  
0x01C0 0404  
0x01C0 0408  
0x01C0 040C  
0x01C0 0410  
0x01C0 0414  
0x01C0 0418  
0x01C0 041C  
0x01C0 0420  
0x01C0 0424  
0x01C0 0428  
0x01C0 042C  
0x01C0 0430  
0x01C0 0434  
0x01C0 0438  
0x01C0 043C  
0x01C0 0440  
0x01C0 0444  
0x01C0 0448  
0x01C0 044C  
0x01C0 0450  
0x01C0 0454  
0x01C0 0458  
0x01C0 045C  
0x01C0 0460  
0x01C0 0464  
0x01C0 0468  
0x01C0 046C  
0x01C0 0470  
0x01C0 0474  
0x01C0 0478  
0x01C0 047C  
0x01C0 0480  
0x01C0 0484  
0x01C0 0488  
0x01C0 048C  
0x01C0 0490  
0x01C0 0494  
0x01C0 0498  
0x01C0 049C  
0x01C0 04A0  
0x01C0 04A4  
0x01C0 04A8  
ACRONYM  
QRAE1  
REGISTER NAME  
QDMA Region Access Enable Register for Region 1  
Reserved  
Reserved  
Reserved  
Q0E0  
Q0E1  
Q0E2  
Q0E3  
Q0E4  
Q0E5  
Q0E6  
Q0E7  
Q0E8  
Q0E9  
Q0E10  
Q0E11  
Q0E12  
Q0E13  
Q0E14  
Q0E15  
Q1E0  
Q1E1  
Q1E2  
Q1E3  
Q1E4  
Q1E5  
Q1E6  
Q1E7  
Q1E8  
Q1E9  
Q1E10  
Q1E11  
Q1E12  
Q1E13  
Q1E14  
Q1E15  
Q2E0  
Q2E1  
Q2E2  
Q2E3  
Q2E4  
Q2E5  
Q2E6  
Q2E7  
Q2E8  
Q2E9  
Q2E10  
Event Q0 Entry 0 Register  
Event Q0 Entry 1 Register  
Event Q0 Entry 2 Register  
Event Q0 Entry 3 Register  
Event Q0 Entry 4 Register  
Event Q0 Entry 5 Register  
Event Q0 Entry 6 Register  
Event Q0 Entry 7 Register  
Event Q0 Entry 8 Register  
Event Q0 Entry 9 Register  
Event Q0 Entry 10 Register  
Event Q0 Entry 11 Register  
Event Q0 Entry 12 Register  
Event Q0 Entry 13 Register  
Event Q0 Entry 14 Register  
Event Q0 Entry 15 Register  
Event Q1 Entry 0 Register  
Event Q1 Entry 1 Register  
Event Q1 Entry 2 Register  
Event Q1 Entry 3 Register  
Event Q1 Entry 4 Register  
Event Q1 Entry 5 Register  
Event Q1 Entry 6 Register  
Event Q1 Entry 7 Register  
Event Q1 Entry 8 Register  
Event Q1 Entry 9 Register  
Event Q1 Entry 10 Register  
Event Q1 Entry 11 Register  
Event Q1 Entry 12 Register  
Event Q1 Entry 13 Register  
Event Q1 Entry 14 Register  
Event Q1 Entry 15 Register  
Event Q2 Entry 0 Register  
Event Q2 Entry 1 Register  
Event Q2 Entry 2 Register  
Event Q2 Entry 3 Register  
Event Q2 Entry 4 Register  
Event Q2 Entry 5 Register  
Event Q2 Entry 6 Register  
Event Q2 Entry 7 Register  
Event Q2 Entry 8 Register  
Event Q2 Entry 9 Register  
Event Q2 Entry 10 Register  
156  
Peripheral Information and Electrical Specifications  
Submit Documentation Feedback  
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
Table 6-7. DM6433 EDMA Registers (continued)  
HEX ADDRESS  
0x01C0 04AC  
0x01C0 04B0  
0x01C0 04B4  
0x01C0 04B8  
0x01C0 04BC  
ACRONYM  
Q2E11  
REGISTER NAME  
Event Q2 Entry 11 Register  
Event Q2 Entry 12 Register  
Event Q2 Entry 13 Register  
Event Q2 Entry 14 Register  
Event Q2 Entry 15 Register  
Reserved  
Q2E12  
Q2E13  
Q2E14  
Q2E15  
0x01C0 04C0 - 0x01C0 05FF  
0x01C0 0600  
QSTAT0  
QSTAT1  
QSTAT2  
Queue 0 Status Register  
Queue 1 Status Register  
Queue 2 Status Register  
Reserved  
0x01C0 0604  
0x01C0 0608  
0x01C0 060C - 0x01C0 061F  
0x01C0 0620  
QWMTHRA  
Queue Watermark Threshold A Register for Q[2:0]  
0x01C0 0624  
Reserved  
0x01C0 0640  
CCSTAT  
EDMA3CC Status Register  
Reserved  
0x01C0 0644 - 0x01C0 0FFF  
Global Channel Registers  
0x01C0 1000  
0x01C0 1004  
0x01C0 1008  
0x01C0 100C  
0x01C0 1010  
0x01C0 1014  
0x01C0 1018  
0x01C0 101C  
0x01C0 1020  
0x01C0 1024  
0x01C0 1028  
0x01C0 102C  
0x01C0 1030  
0x01C0 1034  
0x01C0 1038  
0x01C0 103C  
0x01C0 1040  
0x01C0 1044  
0x01C0 1048 - 0x01C0 104F  
0x01C0 1050  
0x01C0 1054  
0x01C0 1058  
0x01C0 105C  
0x01C0 1060  
0x01C0 1064  
0x01C0 1068  
0x01C0 106C  
0x01C0 1070  
0x01C0 1074  
0x01C0 1078  
0x01C0 1080  
0x01C0 1084  
ER  
ERH  
Event Register  
Event Register High  
ECR  
Event Clear Register  
ECRH  
ESR  
Event Clear Register High  
Event Set Register  
ESRH  
CER  
Event Set Register High  
Chained Event Register  
CERH  
EER  
Chained Event Register High  
Event Enable Register  
EERH  
EECR  
EECRH  
EESR  
EESRH  
SER  
Event Enable Register High  
Event Enable Clear Register  
Event Enable Clear Register High  
Event Enable Set Register  
Event Enable Set Register High  
Secondary Event Register  
Secondary Event Register High  
Secondary Event Clear Register  
Secondary Event Clear Register High  
Reserved  
SERH  
SECR  
SECRH  
IER  
IERH  
IECR  
IECRH  
IESR  
IESRH  
IPR  
Interrupt Enable Register  
Interrupt Enable Register High  
Interrupt Enable Clear Register  
Interrupt Enable Clear Register High  
Interrupt Enable Set Register  
Interrupt Enable Set Register High  
Interrupt Pending Register  
Interrupt Pending Register High  
Interrupt Clear Register  
IPRH  
ICR  
ICRH  
IEVAL  
QER  
Interrupt Clear Register High  
Interrupt Evaluate Register  
QDMA Event Register  
QEER  
QDMA Event Enable Register  
Submit Documentation Feedback  
Peripheral Information and Electrical Specifications  
157  
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
Table 6-7. DM6433 EDMA Registers (continued)  
HEX ADDRESS  
0x01C0 1088  
ACRONYM  
QEECR  
QEESR  
QSER  
REGISTER NAME  
QDMA Event Enable Clear Register  
0x01C0 108C  
QDMA Event Enable Set Register  
QDMA Secondary Event Register  
QDMA Secondary Event Clear Register  
Reserved  
0x01C0 1090  
0x01C0 1094  
QSECR  
0x01C0 1098 - 0x01C0 1FFF  
Shadow Region 0 Channel Registers  
0x01C0 2000  
0x01C0 2004  
0x01C0 2008  
0x01C0 200C  
0x01C0 2010  
0x01C0 2014  
0x01C0 2018  
0x01C0 201C  
0x01C0 2020  
0x01C0 2024  
0x01C0 2028  
0x01C0 202C  
0x01C0 2030  
0x01C0 2034  
0x01C0 2038  
0x01C0 203C  
0x01C0 2040  
0x01C0 2044  
0x01C0 2048 - 0x01C0 204C  
0x01C0 2050  
0x01C0 2054  
0x01C0 2058  
0x01C0 205C  
0x01C0 2060  
0x01C0 2064  
0x01C0 2068  
0x01C0 206C  
0x01C0 2070  
0x01C0 2074  
0x01C0 2078  
0x01C0 207C  
0x01C0 2080  
0x01C0 2084  
0x01C0 2088  
0x01C0 208C  
0x01C0 2090  
0x01C0 2094  
0x01C0 2098 - 0x01C0 21FC  
ER  
ERH  
Event Register  
Event Register High  
ECR  
Event Clear Register  
ECRH  
ESR  
Event Clear Register High  
Event Set Register  
ESRH  
CER  
Event Set Register High  
Chained Event Register  
CERH  
EER  
Chained Event Register High  
Event Enable Register  
EERH  
EECR  
EECRH  
EESR  
EESRH  
SER  
Event Enable Register High  
Event Enable Clear Register  
Event Enable Clear Register High  
Event Enable Set Register  
Event Enable Set Register High  
Secondary Event Register  
Secondary Event Register High  
Secondary Event Clear Register  
Secondary Event Clear Register High  
Reserved  
SERH  
SECR  
SECRH  
-
IER  
Interrupt Enable Register  
Interrupt Enable Register High  
Interrupt Enable Clear Register  
Interrupt Enable Clear Register High  
Interrupt Enable Set Register  
Interrupt Enable Set Register High  
Interrupt Pending Register  
Interrupt Pending Register High  
Interrupt Clear Register  
IERH  
IECR  
IECRH  
IESR  
IESRH  
IPR  
IPRH  
ICR  
ICRH  
IEVAL  
-
Interrupt Clear Register High  
Interrupt Evaluate Register  
Reserved  
QER  
QDMA Event Register  
QEER  
QEECR  
QEESR  
QSER  
QSECR  
-
QDMA Event Enable Register  
QDMA Event Enable Clear Register  
QDMA Event Enable Set Register  
QDMA Secondary Event Register  
QDMA Secondary Event Clear Register  
Reserved  
Shadow Region 1 Channel Registers  
0x01C0 2200  
0x01C0 2204  
ER  
Event Register  
ERH  
Event Register High  
158  
Peripheral Information and Electrical Specifications  
Submit Documentation Feedback  
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
Table 6-7. DM6433 EDMA Registers (continued)  
HEX ADDRESS  
0x01C0 2208  
0x01C0 220C  
0x01C0 2210  
0x01C0 2214  
0x01C0 2218  
0x01C0 221C  
0x01C0 2220  
0x01C0 2224  
0x01C0 2228  
0x01C0 222C  
0x01C0 2230  
0x01C0 2234  
0x01C0 2238  
0x01C0 223C  
0x01C0 2240  
0x01C0 2244  
ACRONYM  
ECR  
ECRH  
ESR  
ESRH  
CER  
CERH  
EER  
EERH  
EECR  
EECRH  
EESR  
EESRH  
SER  
SERH  
SECR  
SECRH  
-
REGISTER NAME  
Event Clear Register  
Event Clear Register High  
Event Set Register  
Event Set Register High  
Chained Event Register  
Chained Event Register High  
Event Enable Register  
Event Enable Register High  
Event Enable Clear Register  
Event Enable Clear Register High  
Event Enable Set Register  
Event Enable Set Register High  
Secondary Event Register  
Secondary Event Register High  
Secondary Event Clear Register  
Secondary Event Clear Register High  
Reserved  
0x01C0 2248 - 0x01C0 224C  
0x01C0 2250  
IER  
Interrupt Enable Register  
Interrupt Enable Register High  
Interrupt Enable Clear Register  
Interrupt Enable Clear Register High  
Interrupt Enable Set Register  
Interrupt Enable Set Register High  
Interrupt Pending Register  
Interrupt Pending Register High  
Interrupt Clear Register  
Interrupt Clear Register High  
Interrupt Evaluate Register  
Reserved  
0x01C0 2254  
IERH  
IECR  
IECRH  
IESR  
IESRH  
IPR  
0x01C0 2258  
0x01C0 225C  
0x01C0 2260  
0x01C0 2264  
0x01C0 2268  
0x01C0 226C  
IPRH  
ICR  
0x01C0 2270  
0x01C0 2274  
ICRH  
IEVAL  
-
0x01C0 2278  
0x01C0 227C  
0x01C0 2280  
QER  
QEER  
QEECR  
QEESR  
QSER  
QSECR  
-
QDMA Event Register  
QDMA Event Enable Register  
QDMA Event Enable Clear Register  
QDMA Event Enable Set Register  
QDMA Secondary Event Register  
QDMA Secondary Event Clear Register  
Reserved  
0x01C0 2284  
0x01C0 2288  
0x01C0 228C  
0x01C0 2290  
0x01C0 2294  
0x01C0 2298 - 0x01C0 23FC  
0x01C0 2400 - 0x01C0 25FC  
0x01C0 2600 - 0x01C0 27FC  
0x01C0 2800 - 0x01C0 29FC  
0x01C0 2A00 - 0x01C0 2BFC  
0x01C0 2C00 - 0x01C0 2DFC  
0x01C0 2E00 - 0x01C0 2FFC  
0x01C0 2FFD - 0x01C0 3FFF  
0x01C0 4000 - 0x01C0 4FFF  
0x01C0 5000 - 0x01C0 7FFF  
0x01C0 8000 - 0x01C0 FFFF  
-
Reserved  
-
Reserved  
-
Reserved  
-
Reserved  
-
Reserved  
-
Reserved  
-
Reserved  
-
Parameter Set RAM (see Table 6-8)  
Reserved  
-
-
Reserved  
Transfer Controller 0 Registers  
Submit Documentation Feedback  
Peripheral Information and Electrical Specifications  
159  
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
Table 6-7. DM6433 EDMA Registers (continued)  
HEX ADDRESS  
0x01C1 0000  
ACRONYM  
-
REGISTER NAME  
Reserved  
0x01C1 0004  
TCCFG  
-
EDMA3 TC0 Configuration Register  
Reserved  
0x01C1 0008 - 0x01C1 00FF  
0x01C1 0100  
TCSTAT  
-
EDMA3 TC0 Channel Status Register  
Reserved  
0x01C1 0104 - 0x01C1 0110  
0x01C1 0114 - 0x01C1 011F  
0x01C1 0120  
-
Reserved  
ERRSTAT  
ERREN  
ERRCLR  
ERRDET  
ERRCMD  
-
EDMA3 TC0 Error Status Register  
EDMA3 TC0 Error Enable Register  
EDMA3 TC0 Error Clear Register  
EDMA3 TC0 Error Details Register  
EDMA3 TC0 Error Interrupt Command Register  
Reserved  
0x01C1 0124  
0x01C1 0128  
0x01C1 012C  
0x01C1 0130  
0x01C1 0134 - 0x01C1 013F  
0x01C1 0140  
RDRATE  
-
EDMA3 TC0 Read Command Rate Register  
Reserved  
0x01C1 0144 - 0x01C1 01FF  
0x01C1 0200 - 0x01C1 023F  
0x01C1 0240  
-
Reserved  
SAOPT  
SASRC  
SACNT  
SADST  
SABIDX  
SAMPPRXY  
SACNTRLD  
SASRCBREF  
SADSTBREF  
-
EDMA3 TC0 Source Active Options Register  
EDMA3 TC0 Source Active Source Address Register  
EDMA3 TC0 Source Active Count Register  
EDMA3 TC0 Source Active Destination Address Register  
EDMA3 TC0 Active B-Index Register  
EDMA3 TC0 Source Active Memory Protection Proxy Register  
EDMA3 TC0 Source Active Count Reload Register  
0x01C1 0244  
0x01C1 0248  
0x01C1 024C  
0x01C1 0250  
0x01C1 0254  
0x01C1 0258  
0x01C1 025C  
EDMA3 TC0 Source Active Source Address B-Reference Register  
EDMA3 TC0 Source Active Destination Address B-Reference Register  
Reserved  
0x01C1 0260  
0x01C1 0264 - 0x01C1 027F  
0x01C1 0280  
DFCNTRLD  
DFSRCBREF  
EDMA3 TC0 Destination FIFO Set Count Reload Register  
EDMA3 TC0 Destination FIFO Set Source Address B-Reference Register  
0x01C1 0284  
EDMA3 TC0 Destination FIFO Set Destination Address B-Reference  
Register  
0x01C1 0288  
DFDSTBREF  
0x01C1 028C - 0x01C1 02FF  
0x01C1 0300  
-
Reserved  
DFOPT0  
DFSRC0  
DFCNT0  
DFDST0  
DFBIDX0  
DFMPPRXY0  
-
EDMA3 TC0 Destination FIFO Options Register 0  
EDMA3 TC0 Destination FIFO Source Address Register 0  
EDMA3 TC0 Destination FIFO Count Register 0  
EDMA3 TC0 Destination FIFO Destination Address Register 0  
EDMA3 TC0 Destination FIFO B-Index Register 0  
EDMA3 TC0 Destination FIFO Memory Protection Proxy Register 0  
Reserved  
0x01C1 0304  
0x01C1 0308  
0x01C1 030C  
0x01C1 0310  
0x01C1 0314  
0x01C1 0318 - 0x01C1 033F  
0x01C1 0340  
DFOPT1  
DFSRC1  
DFCNT1  
DFDST1  
DFBIDX1  
DFMPPRXY1  
-
EDMA3 TC0 Destination FIFO Options Register 1  
EDMA3 TC0 Destination FIFO Source Address Register 1  
EDMA3 TC0 Destination FIFO Count Register 1  
EDMA3 TC0 Destination FIFO Destination Address Register 1  
EDMA3 TC0 Destination FIFO B-Index Register 1  
EDMA3 TC0 Destination FIFO Memory Protection Proxy Register 1  
Reserved  
0x01C1 0344  
0x01C1 0348  
0x01C1 034C  
0x01C1 0350  
0x01C1 0354  
0x01C1 0358 - 0x01C1 037F  
0x01C1 0380  
DFOPT2  
DFSRC2  
DFCNT2  
EDMA3 TC0 Destination FIFO Options Register 2  
EDMA3 TC0 Destination FIFO Source Address Register 2  
EDMA3 TC0 Destination FIFO Count Register 2  
0x01C1 0384  
0x01C1 0388  
160  
Peripheral Information and Electrical Specifications  
Submit Documentation Feedback  
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
Table 6-7. DM6433 EDMA Registers (continued)  
HEX ADDRESS  
0x01C1 038C  
0x01C1 0390  
0x01C1 0394  
ACRONYM  
DFDST2  
DFBIDX2  
DFMPPRXY2  
-
REGISTER NAME  
EDMA3 TC0 Destination FIFO Destination Address Register 2  
EDMA3 TC0 Destination FIFO B-Index Register 2  
EDMA3 TC0 Destination FIFO Memory Protection Proxy Register 2  
Reserved  
0x01C1 0398 - 0x01C1 03BF  
0x01C1 03C0  
DFOPT3  
DFSRC3  
DFCNT3  
DFDST3  
DFBIDX3  
DFMPPRXY3  
-
EDMA3 TC0 Destination FIFO Options Register 3  
EDMA3 TC0 Destination FIFO Source Address Register 3  
EDMA3 TC0 Destination FIFO Count Register 3  
EDMA3 TC0 Destination FIFO Destination Address Register 3  
EDMA3 TC0 Destination FIFO B-Index Register 3  
EDMA3 TC0 Destination FIFO Memory Protection Proxy Register 3  
Reserved  
0x01C1 03C4  
0x01C1 03C8  
0x01C1 03CC  
0x01C1 03D0  
0x01C1 03D4  
0x01C1 03D8 - 0x01C1 03FF  
Transfer Controller 1 Registers  
0x01C1 0400  
0x01C1 0404  
-
TCCFG  
-
Reserved  
EDMA3 TC1 Configuration Register  
Reserved  
0x01C1 0408 - 0x01C1 04FF  
0x01C1 0500  
TCSTAT  
-
EDMA3 TC1 Channel Status Register  
Reserved  
0x01C1 0504 - 0x01C1 0510  
0x01C1 0514 - 0x01C1 051F  
0x01C1 0520  
-
Reserved  
ERRSTAT  
ERREN  
ERRCLR  
ERRDET  
ERRCMD  
-
EDMA3 TC1 Error Status Register  
EDMA3 TC1 Error Enable Register  
EDMA3 TC1 Error Clear Register  
0x01C1 0524  
0x01C1 0528  
0x01C1 052C  
EDMA3 TC1 Error Details Register  
EDMA3 TC1 Error Interrupt Command Register  
Reserved  
0x01C1 0530  
0x01C1 0534 - 0x01C1 053F  
0x01C1 0540  
RDRATE  
-
EDMA3 TC1 Read Command Rate Register  
Reserved  
0x01C1 0544 - 0x01C1 05FF  
0x01C1 0600 - 0x01C1 063F  
0x01C1 0640  
-
Reserved  
SAOPT  
SASRC  
SACNT  
SADST  
SABIDX  
SAMPPRXY  
SACNTRLD  
SASRCBREF  
SADSTBREF  
-
EDMA3 TC1 Source Active Options Register  
EDMA3 TC1 Source Active Source Address Register  
EDMA3 TC1 Source Active Count Register  
EDMA3 TC1 Source Active Destination Address Register  
EDMA3 TC1 Active B-Index Register  
EDMA3 TC1 Source Active Memory Protection Proxy Register  
EDMA3 TC1 Source Active Count Reload Register  
EDMA3 TC1 Source Active Source Address B-Reference Register  
EDMA3 TC1 Source Active Destination Address B-Reference Register  
Reserved  
0x01C1 0644  
0x01C1 0648  
0x01C1 064C  
0x01C1 0650  
0x01C1 0654  
0x01C1 0658  
0x01C1 065C  
0x01C1 0660  
0x01C1 0664 - 0x01C1 067F  
0x01C1 0680  
DFCNTRLD  
DFSRCBREF  
EDMA3 TC1 Destination FIFO Set Count Reload Register  
EDMA3 TC1 Destination FIFO Set Source Address B-Reference Register  
0x01C1 0684  
EDMA3 TC1 Destination FIFO Set Destination Address B-Reference  
Register  
0x01C1 0688  
DFDSTBREF  
0x01C1 068C - 0x01C1 06FF  
0x01C1 0700  
-
Reserved  
DFOPT0  
DFSRC0  
DFCNT0  
DFDST0  
DFBIDX0  
EDMA3 TC1 Destination FIFO Options Register 0  
EDMA3 TC1 Destination FIFO Source Address Register 0  
EDMA3 TC1 Destination FIFO Count Register 0  
EDMA3 TC1 Destination FIFO Destination Address Register 0  
EDMA3 TC1 Destination FIFO B-Index Register 0  
0x01C1 0704  
0x01C1 0708  
0x01C1 070C  
0x01C1 0710  
Submit Documentation Feedback  
Peripheral Information and Electrical Specifications  
161  
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
Table 6-7. DM6433 EDMA Registers (continued)  
HEX ADDRESS  
0x01C1 0714  
ACRONYM  
DFMPPRXY0  
-
REGISTER NAME  
EDMA3 TC1 Destination FIFO Memory Protection Proxy Register 0  
Reserved  
0x01C1 0718 - 0x01C1 073F  
0x01C1 0740  
DFOPT1  
DFSRC1  
DFCNT1  
DFDST1  
DFBIDX1  
DFMPPRXY1  
-
EDMA3 TC1 Destination FIFO Options Register 1  
EDMA3 TC1 Destination FIFO Source Address Register 1  
EDMA3 TC1 Destination FIFO Count Register 1  
EDMA3 TC1 Destination FIFO Destination Address Register 1  
EDMA3 TC1 Destination FIFO B-Index Register 1  
EDMA3 TC1 Destination FIFO Memory Protection Proxy Register 1  
Reserved  
0x01C1 0744  
0x01C1 0748  
0x01C1 074C  
0x01C1 0750  
0x01C1 0754  
0x01C1 0758 - 0x01C1 077F  
0x01C1 0780  
DFOPT2  
DFSRC2  
DFCNT2  
DFDST2  
DFBIDX2  
DFMPPRXY2  
-
EDMA3 TC1 Destination FIFO Options Register 2  
EDMA3 TC1 Destination FIFO Source Address Register 2  
EDMA3 TC1 Destination FIFO Count Register 2  
EDMA3 TC1 Destination FIFO Destination Address Register 2  
EDMA3 TC1 Destination FIFO B-Index Register 2  
EDMA3 TC1 Destination FIFO Memory Protection Proxy Register 2  
Reserved  
0x01C1 0784  
0x01C1 0788  
0x01C1 078C  
0x01C1 0790  
0x01C1 0794  
0x01C1 0798 - 0x01C1 07BF  
0x01C1 07C0  
DFOPT3  
DFSRC3  
DFCNT3  
DFDST3  
DFBIDX3  
DFMPPRXY3  
-
EDMA3 TC1 Destination FIFO Options Register 3  
EDMA3 TC1 Destination FIFO Source Address Register 3  
EDMA3 TC1 Destination FIFO Count Register 3  
EDMA3 TC1 Destination FIFO Destination Address Register 3  
EDMA3 TC1 Destination FIFO B-Index Register 3  
EDMA3 TC1 Destination FIFO Memory Protection Proxy Register 3  
Reserved  
0x01C1 07C4  
0x01C1 07C8  
0x01C1 07CC  
0x01C1 07D0  
0x01C1 07D4  
0x01C1 07D8 - 0x01C1 07FF  
Transfer Controller 2 Registers  
0x01C1 0800  
0x01C1 0804  
-
TCCFG  
-
Reserved  
EDMA3 TC2 Configuration Register  
Reserved  
0x01C1 0808 - 0x01C1 08FF  
0x01C1 0900  
TCSTAT  
-
EDMA3 TC2 Channel Status Register  
Reserved  
0x01C1 0904 - 0x01C1 0910  
0x01C1 0914 - 0x01C1 091F  
0x01C1 0920  
-
Reserved  
ERRSTAT  
ERREN  
ERRCLR  
ERRDET  
ERRCMD  
-
EDMA3 TC2 Error Status Register  
EDMA3 TC2 Error Enable Register  
EDMA3 TC2 Error Clear Register  
EDMA3 TC2 Error Details Register  
EDMA3 TC2 Error Interrupt Command Register  
Reserved  
0x01C1 0924  
0x01C1 0928  
0x01C1 092C  
0x01C1 0930  
0x01C1 0934 - 0x01C1 093F  
0x01C1 0940  
RDRATE  
-
EDMA3 TC2 Read Command Rate Register  
Reserved  
0x01C1 0944 - 0x01C1 09FF  
0x01C1 0A00 - 0x01C1 0A3F  
0x01C1 0A40  
-
Reserved  
SAOPT  
SASRC  
SACNT  
SADST  
SABIDX  
SAMPPRXY  
SACNTRLD  
SASRCBREF  
EDMA3 TC2 Source Active Options Register  
EDMA3 TC2 Source Active Source Address Register  
EDMA3 TC2 Source Active Count Register  
EDMA3 TC2 Source Active Destination Address Register  
EDMA3 TC2 Active B-Index Register  
EDMA3 TC2 Source Active Memory Protection Proxy Register  
EDMA3 TC2 Source Active Count Reload Register  
EDMA3 TC2 Source Active Source Address B-Reference Register  
0x01C1 0A44  
0x01C1 0A48  
0x01C1 0A4C  
0x01C1 0A50  
0x01C1 0A54  
0x01C1 0A58  
0x01C1 0A5C  
162  
Peripheral Information and Electrical Specifications  
Submit Documentation Feedback  
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
Table 6-7. DM6433 EDMA Registers (continued)  
HEX ADDRESS  
ACRONYM  
SADSTBREF  
-
REGISTER NAME  
0x01C1 0A60  
EDMA3 TC2 Source Active Destination Address B-Reference Register  
Reserved  
0x01C1 0A64 - 0x01C1 0A7F  
0x01C1 0A80  
DFCNTRLD  
DFSRCBREF  
EDMA3 TC2 Destination FIFO Set Count Reload Register  
EDMA3 TC2 Destination FIFO Set Source Address B-Reference Register  
0x01C1 0A84  
EDMA3 TC2 Destination FIFO Set Destination Address B-Reference  
Register  
0x01C1 0A88  
DFDSTBREF  
0x01C1 0A8C - 0x01C1 0AFF  
0x01C1 0B00  
-
Reserved  
DFOPT0  
DFSRC0  
DFCNT0  
DFDST0  
DFBIDX0  
DFMPPRXY0  
-
EDMA3 TC2 Destination FIFO Options Register 0  
EDMA3 TC2 Destination FIFO Source Address Register 0  
EDMA3 TC2 Destination FIFO Count Register 0  
EDMA3 TC2 Destination FIFO Destination Address Register 0  
EDMA3 TC2 Destination FIFO B-Index Register 0  
EDMA3 TC2 Destination FIFO Memory Protection Proxy Register 0  
Reserved  
0x01C1 0B04  
0x01C1 0B08  
0x01C1 0B0C  
0x01C1 0B10  
0x01C1 0B14  
0x01C1 0B18 - 0x01C1 0B3F  
0x01C1 0B40  
DFOPT1  
DFSRC1  
DFCNT1  
DFDST1  
DFBIDX1  
DFMPPRXY1  
-
EDMA3 TC2 Destination FIFO Options Register 1  
EDMA3 TC2 Destination FIFO Source Address Register 1  
EDMA3 TC2 Destination FIFO Count Register 1  
EDMA3 TC2 Destination FIFO Destination Address Register 1  
EDMA3 TC2 Destination FIFO B-Index Register 1  
EDMA3 TC2 Destination FIFO Memory Protection Proxy Register 1  
Reserved  
0x01C1 0B44  
0x01C1 0B48  
0x01C1 0B4C  
0x01C1 0B50  
0x01C1 0B54  
0x01C1 0B58 - 0x01C1 0B7F  
0x01C1 0B80  
DFOPT2  
DFSRC2  
DFCNT2  
DFDST2  
DFBIDX2  
DFMPPRXY2  
-
EDMA3 TC2 Destination FIFO Options Register 2  
EDMA3 TC2 Destination FIFO Source Address Register 2  
EDMA3 TC2 Destination FIFO Count Register 2  
EDMA3 TC2 Destination FIFO Destination Address Register 2  
EDMA3 TC2 Destination FIFO B-Index Register 2  
EDMA3 TC2 Destination FIFO Memory Protection Proxy Register 2  
Reserved  
0x01C1 0B84  
0x01C1 0B88  
0x01C1 0B8C  
0x01C1 0B90  
0x01C1 0B94  
0x01C1 0B98 - 0x01C1 0BBF  
0x01C1 0BC0  
DFOPT3  
DFSRC3  
DFCNT3  
DFDST3  
DFBIDX3  
DFMPPRXY3  
-
EDMA3 TC2 Destination FIFO Options Register 3  
EDMA3 TC2 Destination FIFO Source Address Register 3  
EDMA3 TC2 Destination FIFO Count Register 3  
EDMA3 TC2 Destination FIFO Destination Address Register 3  
EDMA3 TC2 Destination FIFO B-Index Register 3  
EDMA3 TC2 Destination FIFO Memory Protection Proxy Register 3  
Reserved  
0x01C1 0BC4  
0x01C1 0BC8  
0x01C1 0BCC  
0x01C1 0BD0  
0x01C1 0BD4  
0x01C1 0BD8 - 0x01C1 0BFF  
Table 6-8 shows an abbreviation of the set of registers which make up the parameter set for each of 128  
EDMA events. Each of the parameter register sets consist of 8 32-bit word entries. Table 6-9 shows the  
parameter set entry registers with relative memory address locations within each of the parameter sets.  
Submit Documentation Feedback  
Peripheral Information and Electrical Specifications  
163  
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
Table 6-8. EDMA Parameter Set RAM  
HEX ADDRESS RANGE  
0x01C0 4000 - 0x01C0 401F  
0x01C0 4020 - 0x01C0 403F  
0x01C0 4040 - 0x01C0 405F  
0x01C0 4060 - 0x01C0 407F  
0x01C0 4080 - 0x01C0 409F  
0x01C0 40A0 - 0x01C0 40BF  
...  
DESCRIPTION  
Parameters Set 0 (8 32-bit words)  
Parameters Set 1 (8 32-bit words)  
Parameters Set 2 (8 32-bit words)  
Parameters Set 3 (8 32-bit words)  
Parameters Set 4 (8 32-bit words)  
Parameters Set 5 (8 32-bit words)  
...  
0x01C0 4FC0 - 0x01C0 4FDF  
0x01C0 4FE0 - 0x01C0 4FFF  
Parameters Set 126 (8 32-bit words)  
Parameters Set 127 (8 32-bit words)  
Table 6-9. Parameter Set Entries  
HEX OFFSET ADDRESS  
WITHIN THE PARAMETER SET  
ACRONYM  
PARAMETER ENTRY  
0x0000  
0x0004  
0x0008  
0x000C  
0x0010  
0x0014  
0x0018  
0x001C  
OPT  
SRC  
Option  
Source Address  
A_B_CNT  
DST  
A Count, B Count  
Destination Address  
SRC_DST_BIDX  
LINK_BCNTRLD  
SRC_DST_CIDX  
CCNT  
Source B Index, Destination B Index  
Link Address, B Count Reload  
Source C Index, Destination C Index  
C Count  
164  
Peripheral Information and Electrical Specifications  
Submit Documentation Feedback  
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
6.5 Reset  
The reset controller detects the different type of resets supported on the DM6433 device and manages the  
distribution of those resets throughout the device.  
The DM6433 device has several types of device-level global resets - power-on reset, warm reset, and  
max reset. Table 6-10 explains further the types of reset, the reset initiator, and the effects of each reset  
on the chip. See Section 6.5.9, Reset Electrical Data/Timing, for more information on the effects of each  
reset on the PLL controllers and their clocks.  
Table 6-10. Device-Level Global Reset Types  
TYPE  
INITIATOR  
EFFECT(s)  
POR pin  
Global chip reset (Cold reset). Activates the POR signal on chip,  
which resets the entire chip including the emulation logic.  
The power-on reset (POR) pin must be driven low during power  
ramp of the device.  
Power-on Reset  
(POR)  
Device boot and configuration pin are latched.  
Resets everything except for the emulation logic. Emulator stays  
alive during Warm Reset.  
Device boot and configuration pin are latched.  
Warm Reset  
Max Reset  
RESET pin  
Same as a Warm Reset, except the DM6433 device boot and  
configuration pins are not re-latched.  
Emulator, WD Timer (Timer 2)  
In addition to device-level global resets, the PSC provides the capability to cause local resets to  
peripherals and/or the CPU.  
6.5.1 Power-on Reset (POR Pin)  
Power-on Reset (POR) is initiated by the POR pin and is used to reset the entire chip, including the  
emulation logic. Power-on Reset is also referred to as a cold reset since the device usually goes through a  
power-up cycle. During power-up, the POR pin must be asserted (driven low) until the power supplies  
have reached their normal operating conditions. If an external 27-MHz oscillator is used on the MXI/CLKIN  
pin, the source clock should also be running at the correct frequency prior to de-asserting the POR pin.  
Note: A device power-up cycle is not required to initiate a Power-on Reset.  
The following sequence must be followed during a Power-on Reset.  
1. Wait for the power supplies to reach normal operating conditions while keeping the POR pin asserted  
(driven low).  
2. Wait for the input clock source to be stable while keeping the POR pin asserted (low).  
3. Once the power supplies and the input clock source are stable, the POR pin must remain asserted  
(low) for a minimum of 12 MXI cycles.  
Within the low period of the POR pin, the following happens:  
The reset signals flow to the entire chip (including the emulation logic), resetting the modules on  
chip.  
The PLL Controller clocks start at the frequency of the MXI clock. The clocks are propagated  
throughout the chip to reset the chip synchronously. By default, both PLL1 and PLL2 are in reset  
and unlocked. The PLL Controllers default to PLL Bypass Mode.  
The RESETOUT pin stays asserted (low), indicating the device is in reset.  
4. The POR pin may now be deasserted (driven high).  
When the POR pin is deasserted (high), the configuration pin values are latched and the PLL  
Controllers changed their system clocks to their default divide-down values. Both PLL Controllers are  
still in PLL Bypass Mode. Other device initialization also begins.  
5. After device initialization is complete, the PLL Controllers pause the system clocks for 10 cycles. At the  
end of these 10 cycles, the RESETOUT pin is deasserted (driven high).  
At this point:  
Submit Documentation Feedback  
Peripheral Information and Electrical Specifications  
165  
 
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
The I/O pins are controlled by the default peripherals (default peripherals are determined by  
PINMUX0 and PINMUX1 registers).  
The clock and reset of each peripheral is determined by the default settings of the Power and Sleep  
Controller (PSC).  
The PLL Controllers are operating in PLL Bypass Mode.  
The C64x+ begins executing from DSPBOOTADDR (determined by bootmode selection).  
After the reset sequence, the boot sequence begins. For more details on the boot sequence, see the  
Using the TMS320DM643x Bootloader Application Report (literature number SPRAAG0).  
After the boot sequence, follow the software initialization sequence described in Section 3.8, Device  
Initialization Sequence After Reset.  
6.5.1.1 Usage of POR versus RESET Pins  
POR and RESET are independent resets.  
If the device needs to go through a power-up cycle, POR (not RESET) must be used to fully reset the  
device.  
In functional end-system, emulation/debugger logic is typically not needed; therefore, the recommendation  
for functional end-system is to use the POR pin for full device reset. If RESET pin is not needed, it can be  
pulled inactive (high) via an external pullup resistor.  
In a debug system, it is typically desirable to allow the reset of the device without crashing an emulation  
session. In this case, the user can use the POR pin to achieve full device reset and use the RESET pin to  
achieve a debug reset—which resets the entire device except emulation logic.  
6.5.1.2 Latching Boot and Configuration Pins  
Internal to the chip, the two device reset pins RESET and POR are logically AND’d together only for the  
purpose of latching device boot and configuration pins. The values on all device and boot configuration  
pins are latched into the BOOTCFG register when the logical AND of RESET and POR transitions from  
low-to-high.  
6.5.2 Warm Reset (RESET Pin)  
A Warm Reset is activated by driving the RESET pin active low. This resets everything in the device  
except the emulation logic. An emulator session will stay alive during warm reset.  
For more information on POR vs. RESET usage, see Section 6.5.1.1, Usage of POR versus RESET Pins  
and Section 6.5.1.2, Latching Boot and Configuration Pins.  
The following sequence must be followed during a Warm Reset:  
1. Power supplies and input clock source should already be stable.  
2. The RESET pin must be asserted (low) for a minimum of 12 MXI cycles.  
Within the low period of the RESET pin, the following happens:  
The reset signals flow to the entire chip resetting all the modules on chip, except the emulation  
logic.  
The PLL Controllers are reset thereby, switching back to PLL Bypass Mode and resetting all their  
registers to default values. Both PLL1 and PLL2 are placed in reset and lose lock.  
The RESETOUT pin becomes asserted (low), indicating the device is in reset.  
3. The RESET pin may now be deasserted (driven high).  
When the RESET pin is deasserted (high), the configuration pin values are latched and the PLL  
Controllers changed their system clocks to their default divide-down values. Both PLL Controllers are  
still in PLL Bypass Mode. Other device initialization also begins.  
4. After device initialization is complete, the PLL Controllers pause the system clocks for 10 cycles. At the  
end of these 10 cycles, the RESETOUT pin is deasserted (driven high).  
166  
Peripheral Information and Electrical Specifications  
Submit Documentation Feedback  
 
 
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
At this point:  
The I/O pins are controlled by the default peripherals (default peripherals are determined by  
PINMUX0 and PINMUX1 registers).  
The clock and reset of each peripheral is determined by the default settings of the Power and Sleep  
Controller (PSC).  
The PLL Controllers are operating in PLL Bypass Mode.  
The C64x+ begins executing from DSPBOOTADDR (determined by bootmode selection).  
After the reset sequence, the boot sequence begins. For more details on the boot sequence, see the  
Using the TMS320DM643x Bootloader Application Report (literature number SPRAAG0)).  
After the boot sequence, follow the software initialization sequence described in Section 3.8, Device  
Initialization Sequence After Reset.  
6.5.3 Maximum Reset  
A Maximum (Max) Reset is initiated by the emulator or the watchdog timer (Timer 2). The effects are the  
same as a warm reset, except the device boot and configuration pins are not re-latched. The emulator  
initiates a maximum reset via the ICEPICK module. This ICEPICK initiated reset is non-maskable. When  
the watchdog timer counter reaches zero, this will also initiate a maximum reset to recover from a runaway  
condition. The watchdog timeout reset condition is masked if the TIMERCTL.WDRST bit is cleared to "0".  
To invoke the maximum reset via the ICEPICK module, the user can perform the following from the Code  
Composer Studio™ IDE menu: DebugAdvanced ResetsSystem Reset  
This is the Max Reset sequence:  
1. Max Reset is initiated by the emulator or the watchdog timer.  
During this time, the following happens:  
The reset signals flow to the entire chip resetting all the modules on chip except the emulation  
logic.  
The PLL Controllers are reset thereby, switching back to PLL Bypass Mode and resetting all their  
registers to default values. Both PLL1 and PLL2 are placed in reset and lose lock.  
The RESETOUT pin becomes asserted (low), indicating the device is in reset.  
2. After device initialization is complete, the PLL Controllers pause the system clocks for 10 cycles. At the  
end of these 10 cycles, the RESETOUT pin is deasserted (driven high).  
At this point:  
The I/O pins are controlled by the default peripherals (default peripherals are determined by  
PINMUX0 and PINMUX1 registers).  
The clock and reset of each peripheral is determined by the default settings of the Power and Sleep  
Controller (PSC).  
The PLL Controllers are operating in PLL Bypass Mode.  
The C64x+ begins executing from DSPBOOTADDR (determined by bootmode selection).  
After the reset sequence, the boot sequence begins. Since the boot and configuration pins are not latched  
with a Max Reset, the previous values (as shown in the BOOTCFG register) are used to select the boot  
mode. For more details on the boot sequence, see the Using the TMS320DM643x Bootloader Application  
Report (literature number SPRAAG0).  
After the boot sequence, follow the software initialization sequence described in Section 3.8, Device  
Initialization Sequence After Reset.  
Submit Documentation Feedback  
Peripheral Information and Electrical Specifications  
167  
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
6.5.4 CPU Local Reset  
The C64x+ DSP CPU has an internal reset input that allows a host (PCI/HPI) to control it. This reset is  
configured through a register bit (MDCTL[39].LRST) in the Power Sleep Controller (PSC) module. When in  
C64x+ local reset, the slave DMA port on C64x+ will remain active and the internal memory will be  
accessible. For procedures on asserting and de-asserting CPU local reset by the host, see the  
TMS320DM643x DMP DSP Subsystem Reference Guide (literature number SPRU978).  
For information on peripheral selection at the rising edge of POR or RESET, see Section 3, Device  
Configurations of this data manual.  
6.5.5 Peripheral Local Reset  
The user can configure the local reset and clock state of a peripheral through programming the PSC.  
Table 6-4, DM6433 LPSC Assignments identifies the LPSC numbers and the peripherals capable of being  
locally reset by the PSC. For more detailed information on the programming of these peripherals by the  
PSC, see the TMS320DM643x DMP DSP Subsystem Reference Guide (literature number SPRU978).  
6.5.6 Reset Priority  
If any of the above reset sources occur simultaneously, the PLLC only processes the highest priority reset  
request. The reset request priorities are as follows (high to low):  
Power-on Reset  
Maximum Reset  
Warm Reset  
CPU Reset  
6.5.7 Reset Controller Register  
The Reset Type Status (RSTYPE) register (01C4 00E4) is the only register for the reset controller. This  
register falls in the same memory range as the PLL1 controller registers (see Table 6-18 for the PLL1  
Controller Registers (including Reset Controller)). For more details on the RSTYPE register, see the  
TMS320DM643x DMP DSP Subsystem Reference Guide (literature number SPRU978).  
6.5.8 Pin Behaviors at Reset  
During normal operations, pins are controlled by the respective peripheral selected in the PINMUX0 or  
PINMUX1 register. During device level global reset, the pin behaves as follows:  
Multiplexed Boot and Configuration Pins  
These pins are forced 3-stated when RESETOUT is asserted (low). This is to ensure the proper boot and  
configuration values can be latched on these multiplexed pins. This is particularly useful in the case where  
the boot and configuration values are driven by an external control device. After RESETOUT is  
deasserted (high), these pins are controlled by their respective default peripheral.  
Boot and Configuration Pins Group: YOUT6/GP[28], YOUT5/GP[27], YOUT4/GP[26]/(FASTBOOT),  
YOUT3/GP[25]/(BOOTMODE3), YOUT2/GP[24]/(BOOTMODE2), YOUT1/GP[23]/(BOOTMODE1),  
YOUT0/GP[22]/(BOOTMODE0), R0/EM_A[4]/GP[10]/(AEAW2/PLLMS2),  
G1/EM_A[1]/(ALE)/GP[9]/(AEAW1/PLLMS1), B1/EM_A[2]/(CLE)/GP[8]/(AEAW0/PLLMS0),  
R1/EM_A[0]/GP[7]/(AEM2), R2/EM_BA[0]/GP[6]/(AEM1), and B2/EM_BA[1]/GP[5]/(AEM0).  
For information on whether external pullup/pulldown resistors should be used on the boot and  
configuration pins, see Section 3.9.1, Pullup/Pulldown Resistors.  
168  
Peripheral Information and Electrical Specifications  
Submit Documentation Feedback  
 
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
Default Power Down Pins  
As discussed in Section 3.2, Power Considerations, the VDD3P3V_PWDN register controls power to the  
3.3-V pins. The VDD3P3V_PWDN register defaults to powering down some 3.3-V pins to save power. For  
more details on the VDD3P3V_PWDN register and which 3.3-V pins default to powerup or powerdown,  
Section 3.2, Power Considerations. The pins that default to powerdown, are both reset to powerdown and  
high-impedance. They remain in that state until configured otherwise by VDD3P3_PWDN and  
PINMUX0/PINMUX1 programming.  
Default Power Down Pin Group: GP[4]/PWM1, ACLKR0/CLKX0/GP[99], AFSR0/DR0/GP[100],  
AHCLKR0/CLKR0/GP[101], AXR0[3]/FSR0/GP[102], AXR0[2]/FSX0/GP[103], AXR0[1]/DX0/GP[104],  
AXR0/GP[105], ACLKX0/GP[106], AFSX0/GP[107], AHCLKX0/GP[108], AMUTEIN0/GP[109],  
AMUTE0/GP[110], TOUT1L/GP[55], TINP1L/GP[56], CLKS0/TOUT0L/GP[97], TINP0L/GP[98],  
URXD0/GP[85], UTXD0/GP[86], UCTS0/GP[87], and URTS0/PWM0/GP[88].  
All Other Pins  
During RESETOUT assertion (low), all other pins are controlled by the default peripheral. The default  
peripheral is determined by the default settings of the PINMUX0 or PINMUX1 registers.  
Some of the PINMUX0/PINMUX1 settings are determined by configuration pins latched at reset. To  
determine the reset behavior of these pins, see Section 3.7, Multiplexed Pin Configurations and read the  
rest of the this subsection to understand how that default peripheral controls the pin.  
The reset behaviors for all these other pins are categorized as follows (also see Figure 6-7 and Figure 6-8  
in Section 6.5.9, Reset Electrical Data/Timing):  
Z+/Low Group (Z Longer-to-Low Group): These pins are 3-stated when device-level global reset  
source (e.g., POR, RESET, or Max Reset) is asserted. These pins remain 3-stated throughout  
RESETOUT assertion. When RESETOUT is deasserted, these pins drive a logic low.  
Z+/High Group (Z Longer-to-High Group): These pins are 3-stated when device-level global reset  
source (e.g., POR, RESET, or Max Reset) is asserted. These pins remain 3-stated throughout  
RESETOUT assertion. When RESETOUT is deasserted, these pins drive a logic high.  
Z+/Invalid Group (Z Longer-to-Invalid Group): These pins are 3-stated when device-level global  
reset source (e.g., POR, RESET, or Max Reset) is asserted. These pins remain 3-stated throughout  
RESETOUT assertion. When RESETOUT is deasserted, these pins drive an invalid value until  
configured otherwise by their respective peripheral (after the peripheral is enabled by the PSC).  
Z Group: These pins are 3-stated by default, and these pins remain 3-stated throughout RESETOUT  
assertion. When RESETOUT is deasserted, these pins remain 3-stated until configured otherwise by  
their respective peripheral (after the peripheral is enabled by the PSC).  
Low Group: These pins are low by default, and remain low until configured otherwise by their  
respective peripheral (after the peripheral is enabled by the PSC).  
High Group: These pins are high by default, and remain high until configured otherwise by their  
respective peripheral (after the peripheral is enabled by the PSC).  
Z/Low Group (Z-to-Low Group): These pins are 3-stated when device-level global reset source (e.g.,  
POR, RESET, or Max Reset) is asserted. When the reset source is deasserted, these pins drive a  
logic low.  
Z/High Group (Z-to-High Group): These pins are 3-stated when device-level global reset source  
(e.g., POR, RESET, or Max Reset) is asserted. When reset source is deasserted, these pins drive a  
logic high.  
Clock Group: These clock pins are toggling by default. They paused momentarily before RESETOUT  
is deasserted (high). The only pin in the Clock Group is CLKOUT0.  
This is a list of possible default peripherals and how they control the pins during reset:  
GPIO: All GPIO pins behave according to Z Group.  
Note: The following EMIFA list only includes pins that can default to function as EMIFA signals.  
EMIFA: These EMIFA signals are multiplexed with boot and configuration pins: EM_A[4], EM_A[2:0],  
Submit Documentation Feedback  
Peripheral Information and Electrical Specifications  
169  
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
EM_BA[0], EM_BA[1]; therefore, they are forced 3-stated throughout RESETOUT.  
Z+/Low Group: EM_A[4], EM_A[2:0]  
Z+/High Group: EM_BA[0], EM_BA[1], EM_OE, EM_WE  
Z+/Invalid Group:EM_D[7:0]  
Z/Low Group: EM_A[21:5], EM_A[3], EM_R/W  
Z/High Group: EM_CS2  
Z Group: EM_WAIT/(RDY/BSY)  
DDR2 Memory Controller:  
Clock Group: DDR_CLK, DDR_CLK  
DDR2 Z Group: DDR_DQM[3:0], DDR_DQS[3:0], DDR_D[31:0]  
DDR2 Low Group: DDR_CKE, DDR_BA[2:0], DDR_A[12:0]  
DDR2 High Group: DDR_CS, DDR_WE, DDR_RAS, DDR_CAS  
PCI: All PCI pins behave according to Z Group.  
I2C: All I2C pins behave according to Z Group.  
JTAG: TDO, EMU0, and EMU1 pins behave according to Z Group. TCK, TDI, TMS, and TRST are  
input-only pins.  
Clock: CLKOUT0  
For more information on the pin behaviors during device-level global reset, see Figure 6-7 and Figure 6-8  
in Section 6.5.9, Reset Electrical Data/Timing.  
6.5.9 Reset Electrical Data/Timing  
Note: If a configuration pin must be routed out from the device, the internal pullup/pulldown (IPU/IPD)  
resistor should not be relied upon; TI recommends the use of an external pullup/pulldown resistor.  
Table 6-11. Timing Requirements for Reset (see Figure 6-7 and Figure 6-8)  
-7/-6/-5/-4  
-L/-Q6/-Q5/-Q4  
NO.  
UNIT  
MIN  
MAX  
1
4
tw(RESET)  
Pulse duration, POR low or RESET low  
12C(1)  
ns  
ns  
Setup time, boot and configuration pins valid before POR high or RESET  
high(2)  
tsu(CONFIG)  
12C(1)  
Hold time, boot and configuration pins valid after POR high or RESET  
high(2)  
5
th(CONFIG)  
0
ns  
(1) C = 1/MXI clock frequency in ns. The device clock source must be stable and at a valid frequency prior to meeting the tw(RESET)  
requirement.  
(2) For the list of boot and configuration pins, see Table 2-5, Boot Terminal Functions.  
Table 6-12. Switching Characteristics Over Recommended Operating Conditions During Reset(1)  
(see Figure 6-8)  
-7/-6/-5/-4  
-L/-Q6/-Q5/-Q4  
NO.  
PARAMETER  
UNIT  
MIN  
MAX  
2
3
6
7
8
9
td(RSTH-RSTOUTH)  
tw(PAUSE)  
Delay time, POR high or RESET high to RESETOUT high  
Pulse duration, SYSCLKs paused (low) before RESETOUT high  
Delay time, POR low or RESET low to pins invalid  
Delay time, POR high or RESET high to pins valid  
Delay time, RESETOUT high to pins valid  
1900C  
10C  
20  
ns  
ns  
ns  
ns  
ns  
ns  
10C  
td(RSTL-IV)  
td(RSTH-V)  
20  
td(RSTOUTH-V)  
td(RSTOUTH-IV)  
0
Delay time, RESETOUT high to pins invalid  
12C  
(1) C = 1/CLKIN1 clock frequency in ns.  
170  
Peripheral Information and Electrical Specifications  
Submit Documentation Feedback  
 
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
Figure 6-7 shows the Power-Up Timing. Figure 6-8 shows the Warm Reset (RESET) Timing. Max Reset  
Timing is identical to Warm Reset Timing, except the boot and configuration pins are not relatched and  
the BOOTCFG register retains its previous value latched before the Max Reset was initiated.  
Submit Documentation Feedback  
Peripheral Information and Electrical Specifications  
171  
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
Power  
Supplies  
Ramping  
Power Supplies Stable  
Clock Source Stable  
MXI(A)  
CLKOUT0  
POR  
1
RESET  
2
RESETOUT  
3
SYSCLKREFCLK  
(PLLC1)  
SYSCLK1  
SYSCLK2  
SYSCLK3  
5
4
8
Boot and  
Configuration Pins  
Config  
Driven or Hi-Z  
8
Hi-Z  
Hi-Z  
Hi-Z  
Hi-Z  
Z+/Low Group  
(Z longer-to-low)  
8
9
Z+/High Group  
(Z longer-to-low)  
Z+/Invalid Group  
(Z longer-to-Invalid)  
Invalid  
Z Group  
7
7
Z/Low Group  
(Z-to-low)  
Z/High Group  
(Z-to-high)  
7
DDR2 Z Group  
7
7
DDR2 Low Group  
DDR2 High Group  
A. Power supplies and MXI must be stable before the start of tW(RESET).  
.
B. Pin reset behavior depends on which peripheral defaults to controlling the multiplexed pin. For more details on what  
pin group (e.g., Z Group, Z/Low Group, Z/High Group, etc.) each pin belongs to, see Section 6.5.8, Pin Behaviors at  
Reset.  
Figure 6-7. Power-Up Timing(B)  
172  
Peripheral Information and Electrical Specifications  
Submit Documentation Feedback  
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
Power Supplies Stable  
MXI  
CLKOUT0  
POR  
1
RESET  
2
RESETOUT  
3
SYSCLKREFCLK  
(PLLC1)  
PLL1 Clock  
Div1 Clock  
Div3 Clock  
Div6 Clock  
SYSCLK1  
SYSCLK2  
SYSCLK3  
5
6
8
4
Boot and  
Configuration Pins  
Driven or Hi-Z  
8
Config  
Driven or Hi-Z  
Z+/Low Group  
(Z longer-to-low)  
8
Z+/High Group  
(Z longer-to-high)  
9
Z+/Invalid Group  
(Z longer-to-invalid)  
Invalid  
Z Group  
Driven or Hi-Z  
6
7
7
Z/Low Group  
(Z-to-low)  
Driven or Hi-Z  
6
Z/High Group  
(Z-to-high)  
Driven or Hi-Z  
6
DDR2 Z Group  
DDR2 Low Group  
DDR2 High Group  
6
6
A. Pin reset behavior depends on which peripheral defaults to controlling the multiplexed pin. For more details on what  
pin group (e.g., Z Group, Z/Low Group, Z/High Group, etc.) each pin belongs to, see Section 6.5.8, Pin Behaviors at  
Reset.  
Figure 6-8. Warm Reset (RESET) Timing(A)  
Submit Documentation Feedback  
Peripheral Information and Electrical Specifications  
173  
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
6.6 External Clock Input From MXI/CLKIN Pin  
The DM6433 device includes two options to provide an external clock input:  
Use an on-chip oscillator with external crystal.  
Use an external 1.8-V LVCMOS-compatible clock input.  
The optimal external clock input frequency is 27 MHz. Section 6.6.1 provides more details on Option 1,  
using an on-chip oscillator with external crystal. Section 6.6.2 provides details on Option 2, using an  
external 1.8-V LVCMOS-compatible clock input.  
6.6.1 Clock Input Option 1—Crystal  
In this option, a crystal is used as the external clock input to the DM6433.  
The 27-MHz oscillator provides the reference clock for all DM6433 subsystems and peripherals. The  
on-chip oscillator requires an external 27-MHz crystal connected across the MXI and MXO pins, along  
with two load capacitors, as shown in Figure 6-9. The external crystal load capacitors must be connected  
only to the 27-MHz oscillator ground pin (MXVSS). Do not connect to board ground (VSS). The MXVDD pin  
can be connected to the same 1.8 V power supply as DVDDR2  
.
MXI/CLKIN  
MXO  
MXVSS  
MXVDD  
Crystal  
27 MHz  
C1  
C2  
1.8 V  
Figure 6-9. 27-MHz System Oscillator  
The load capacitors, C1 and C2, should be chosen such that the equation is satisfied (typical values are  
C1 = C2 = 10 pF). CL in the equation is the load specified by the crystal manufacturer. All discrete  
components used to implement the oscillator circuit should be placed as close as possible to the  
associated oscillator pins (MXI and MXO) and to the MXVSS pin.  
C1C2  
CL +  
(C1 ) C2)  
Table 6-13. Input Requirements for Crystal  
PARAMETER  
MIN  
TYP  
MAX  
UNIT  
Start-up time (from power up until oscillating at stable frequency of 27  
MHz)  
4
ms  
Oscillaton frequency  
ESR  
27  
MHz  
60  
Frequency Stability(1)  
±50  
ppm  
(1) Applies only when using the VPBE for NTSC or PAL compliant video. For video and audio applications, stability of the input clock is very  
important. The user should select crystals with low enough ppm to ensure good video and audio quality for the specific application. If the  
VPBE is used for NTSC or PAL compliant video output, TI recommends a 27-MHz, 50-ppm crystal. For more details on this NTSC and  
PAL compliant output video, see Section 6.10.2, Video Processing Back-End (VPBE).  
174  
Peripheral Information and Electrical Specifications  
Submit Documentation Feedback  
 
 
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
6.6.2 Clock Input Option 2—1.8-V LVCMOS-Compatible Clock Input  
In this option, a 1.8-V LVCMOS-Compatible Clock Input is used as the external clock input to the DM6433.  
The external connections are shown in Figure 6-10. The MXI/CLKIN pin is connected to the 1.8-V  
LVCMOS-Compatible clock source. The MXO pin is left unconnected. The MXVSS pin is connected to  
board ground (VSS). The MXVDD pin can be connected to the same 1.8-V power supply as DVDDR2  
.
MXI/CLKIN  
MXO  
NC  
MXVSS  
MXVDD  
1.8 V  
Figure 6-10. 1.8-V LVCMOS-Compatible Clock Input  
The clock source must meet the MXI/CLKIN timing requirements in Section 6.7.4, Clock PLL Electrical  
Data/Timing (Input and Output Clocks).  
Submit Documentation Feedback  
Peripheral Information and Electrical Specifications  
175  
 
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
6.7 Clock PLLs  
There are two independently controlled PLLs on DM6433. PLL1 generates the frequencies required for the  
DSP, DMA, and other peripherals. PLL2 generates the frequencies required for the DDR2 interface and  
the VPBE in certain modes. The recommended reference clock for both PLLs is the 27-MHz crystal input.  
6.7.1 PLL1 and PLL2  
Both PLL1 and PLL2 power is supplied externally via the 1.8 V PLL power-supply pin (PLLPWR18). An  
external EMI filter circuit must be added to PLLPWR18, as shown in Figure 6-11. The 1.8-V supply of the  
EMI filter must be from the same 1.8-V power plane supplying the device’s 1.8-V I/O power-supply pins  
(DVDDDR2). TI recommends EMI filter manufacturer Murata, part number NFM18CC222R1C3.  
All PLL external components (C1, C2, and the EMI Filter) must be placed as close to the device as  
possible. For the best performance, TI recommends that all the PLL external components be on a single  
side of the board without jumpers, switches, or components other than the ones shown in Figure 6-11. For  
reduced PLL jitter, maximize the spacing between switching signals and the PLL external components  
(C1, C2, and the EMI Filter).  
DM643x  
PLL1  
PLL  
+1.8 V  
PWR18  
C2  
0.01 mF  
C1  
EMI Filter  
0.1 mF  
PLL2  
Figure 6-11. PLL1 and PLL2 External Connection  
The minimum CLKIN rise and fall times should also be observed. For the input clock timing requirements,  
see Section 6.7.4, Clock PLL Electrical Data/Timing (Input and Output Clocks).  
There is an allowable range for PLL multiplier (PLLM). There is a minimum and maximum operating  
frequency for MXI/CLKIN, PLLOUT, and the device clocks (SYSCLKs). The PLL Controllers must be  
configured not to exceed any of these constraints documented in this section (certain combinations of  
external clock inputs, internal dividers, and PLL multiply ratios might not be supported). For these  
constraints (ranges), see Table 6-14 through Table 6-16.  
Table 6-14. PLL1 and PLL2 Multiplier Ranges  
PLL MULTIPLIER (PLLM)  
PLL1 Multiplier  
MIN  
x14  
x14  
MAX  
x30  
PLL2 Multiplier  
x32  
176  
Peripheral Information and Electrical Specifications  
Submit Documentation Feedback  
 
 
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
Table 6-15. PLLC1 Clock Frequency Ranges  
CLOCK SIGNAL NAME  
MIN  
20  
MAX  
30  
UNIT  
MHz  
MHz  
MHz  
MHz  
MHz  
MHz  
MHz  
MHz  
MHz  
MHz  
MHz  
MHz  
MHz  
MHz  
MXI/CLKIN(1)  
-7 devices  
300  
300  
300  
300  
700  
600  
520  
520  
700  
660  
600  
500  
400  
520  
450  
400  
350  
PLLOUT  
CVDD = 1.2 V  
-6/-5/-4/-L/-Q6/-Q5/-Q4 devices  
-7 devices  
PLLOUT  
CVDD = 1.05 V  
-6/-5/-4/-L/-Q5 devices  
-7 devices  
-Q6 devices  
-6/-L devices  
-5/-Q5 devices  
-4/-Q4 devices  
-7 devices  
SYSCLK1(2) (CLKDIV1 Domain)  
CVDD = 1.2 V  
SYSCLK1(2) (CLKDIV1 Domain),  
CVDD = 1.05 V  
-6/-L devices  
-5/-Q5 devices  
-4 devices  
(1) MXI/CLKIN input clock is used for both PLL Controllers (PLLC1 and PLLC2).  
(2) Applies to "tape and reel" part number counterparts as well. For more information, see Section 2.8,  
Device and Development-Support Tool Nomenclature.  
Table 6-16. PLLC2 Clock Frequency Ranges  
CLOCK SIGNAL NAME  
MIN  
20  
MAX  
30  
UNIT  
MHz  
MHz  
MHz  
MHz  
MXI/CLKIN(1)  
PLLOUT  
At 1.2-V CVDD  
At 1.05-V CVDD  
300  
300  
900  
666  
333  
PLL2_SYSCLK1 (to DDR2 PHY)  
(1) MXI/CLKIN input clock is used for both PLL Controllers (PLLC1 and PLLC2).  
Both PLL1 and PLL2 have stabilization, lock, and reset timing requirements that must be followed.  
The PLL stabilization time is the amount of time that must be allotted for the internal PLL regulators to  
become stable after the PLL is powered up (after PLLCTL.PLLPWRDN bit goes through a 1-to-0  
transition). The PLL should not be operated until this stabilization time has expired. This stabilization step  
must be applied after these resets—a Power-on Reset, a Warm Reset, or a Max Reset, as the  
PLLCTL.PLLPWRDN bit resets to a "1". For the PLL stabliziation time value, see Table 6-17.  
The PLL reset time is the amount of wait time needed for the PLL to properly reset (writing PLLRST = 0)  
before bringing the PLL out of reset (writing PLLRST = 1). For the PLL reset time value, see Table 6-17.  
The PLL lock time is the amount of time needed from when the PLL is taken out of reset (PLLRST = 1  
with PLLEN = 0) to when to when the PLL controller can be switched to PLL mode (PLLEN = 1). For the  
PLL lock time value, see Table 6-17.  
Table 6-17. PLL1 and PLL2 Stabilization, Lock, and Reset Times  
PLL STABILIZATION/LOCK/RESET  
MIN  
TYP  
MAX  
UNIT  
TIME  
PLL Stabilization Time  
150  
µs  
ns  
ns  
PLL Lock Time  
PLL Reset Time  
2000C(1)  
128C(1)  
(1) C = CLKIN cycle time in ns. For example, when MXI/CLKIN frequency is 27 MHz, use C = 37.037 ns.  
Submit Documentation Feedback  
Peripheral Information and Electrical Specifications  
177  
 
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
For details on the PLL initialization software sequence, see theTMS320DM643x DMP DSP Subsystem  
Reference Guide (literature number SPRU978).  
For more information on the clock domains and their clock ratio restrictions, see Section 6.3.4, DM6433  
Power and Clock Domains.  
178  
Peripheral Information and Electrical Specifications  
Submit Documentation Feedback  
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
6.7.2 PLL Controller Register Description(s)  
A summary of the PLL controller registers is shown in Table 6-18. For more details, see the  
TMS320DM643x DMP DSP Subsystem Reference Guide (literature number SPRU978).  
Table 6-18. PLL and Reset Controller Registers Memory Map  
HEX ADDRESS RANGE  
REGISTER ACRONYM  
PLL1 Controller Registers  
DESCRIPTION  
0x01C4 0800  
0x01C4 08E4  
0x01C4 0900  
0x01C4 0910  
0x01C4 0918  
0x01C4 091C  
0x01C4 0920  
0x01C4 0924  
0x01C4 0928  
0x01C4 092C  
0x01C4 0938  
0x01C4 093C  
PID  
Peripheral ID Register  
Reset Type Register  
RSTYPE  
PLLCTL  
PLLM  
PLL Controller 1 PLL Control Register  
PLL Controller 1 PLL Multiplier Control Register  
PLL Controller 1 Divider 1 Register (SYSCLK1)  
PLL Controller 1 Divider 2 Register (SYSCLK2)  
PLL Controller 1 Divider 3 Register (SYSCLK3)  
PLL Controller 1 Oscillator Divider 1 Register (OBSCLK) [CLKOUT0 pin]  
Reserved  
PLLDIV1  
PLLDIV2  
PLLDIV3  
OSCDIV1  
BPDIV  
PLL Controller 1 Bypass Divider Register (SYSCLKBP)  
PLL Controller 1 Command Register  
PLLCMD  
PLLSTAT  
PLL Controller 1 Status Register (Shows PLLC1 Status)  
PLL Controller 1 Clock Align Control Register  
(Indicates Which SYSCLKs Need to be Aligned for Proper Device Operation)  
0x01C4 0940  
0x01C4 0944  
ALNCTL  
PLL Controller 1 PLLDIV Divider Ratio Change Status Register  
(Indicates if SYSCLK Divide Ratio has Been Modified)  
DCHANGE  
0x01C4 0948  
0x01C4 094C  
0x01C4 0950  
0x01C4 0960  
0x01C4 0964  
CKEN  
CKSTAT  
SYSTAT  
PLL Controller 1 Clock Enable Control Register  
PLL Controller 1 Clock Status Register (For All Clocks Except SYSCLKx)  
PLL Controller 1 SYSCLK Status Register (Indicates SYSCLK on/off Status)  
Reserved  
Reserved  
PLL2 Controller Registers  
0x01C4 0C00  
0x01C4 0D00  
PID  
PLLCTL  
PLLM  
Peripheral ID Register  
PLL Controller 2 PLL Control Register  
PLL Controller 2 PLL Multiplier Control Register  
PLL Controller 2 Divider 1 Register (SYSCLK1)  
PLL Controller 2 Divider 2 Register (SYSCLK2)  
Reserved  
0x01C4 0D10  
0x01C4 0D18  
PLLDIV1  
PLLDIV2  
0x01C4 0D1C  
0x01C4 0D20 - 0x01C4 0D2C  
0x01C4 0D2C  
BPDIV  
PLLCMD  
PLLSTAT  
PLL Controller 2 Bypass Divider Register (SYSCLKBP)  
PLL Controller 2 Command Register  
0x01C4 0D38  
0x01C4 0D3C  
PLL Controller 2 Status Register (Shows PLLC2 Status)  
PLL Controller 2 Clock Align Control Register  
(Indicates Which SYSCLKs Need to be Aligned for Proper Device Operation)  
0x01C4 0D40  
0x01C4 0D44  
ALNCTL  
PLL Controller 2 PLLDIV Divider Ratio Change Status Register  
(Indicates if SYSCLK Divide Ratio has Been Modified)  
DCHANGE  
0x01C4 0D48  
0x01C4 0D4C  
Reserved  
CKSTAT  
SYSTAT  
PLL Controller 2 Clock Status Register (For All Clocks Except SYSCLKx)  
PLL Controller 2 SYSCLK Status Register (Indicates SYSCLK on/off Status)  
Reserved  
0x01C4 0D50  
0x01C4 0D54 - 0x01C4 0FFF  
Submit Documentation Feedback  
Peripheral Information and Electrical Specifications  
179  
 
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
6.7.3 Clock PLL Considerations with External Clock Sources  
If the internal oscillator is bypassed, to minimize the clock jitter a single clean power supply should power  
both the DM6433 device and the external clock oscillator circuit. The minimum CLKIN rise and fall times  
should also be observed. For the input clock timing requirements, see Section 6.7.4, Clock PLL Electrical  
Data/Timing (Input and Output Clocks).  
Rise/fall times, duty cycles (high/low pulse durations), and the load capacitance of the external clock  
source must meet the device requirements in this data manual (see Section 5.3, Electrical Characteristics  
Over Recommended Ranges of Supply Voltage and Operating Temperature and Section 6.7.4, Clock PLL  
Electrical Data/Timing (Input and Output Clocks).  
180  
Peripheral Information and Electrical Specifications  
Submit Documentation Feedback  
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
6.7.4 Clock PLL Electrical Data/Timing (Input and Output Clocks)  
Table 6-19. Timing Requirements for MXI/CLKIN (1)(2)(3)(4) (see Figure 6-12)  
-7/-6/-5/-4  
-L/-Q6/-Q5/-Q4  
NO.  
UNIT  
MIN  
MAX  
50  
1
2
3
4
5
tc(MXI)  
tw(MXIH)  
tw(MXIL)  
tt(MXI)  
Cycle time, MXI/CLKIN  
33.3  
ns  
ns  
Pulse duration, MXI/CLKIN high  
Pulse duration, MXI/CLKIN low  
Transition time, MXI/CLKIN  
Period jitter, MXI/CLKIN  
0.45C  
0.55C  
0.55C  
0.05C  
0.02C  
±50  
0.45C  
ns  
ns  
tJ(MXI)  
ns  
Frequency Stability(5)  
ppm  
(1) The MXI/CLKIN frequency and PLL multiply factor should be chosen such that the resulting clock frequency is within the specific range  
for CPU operating frequency. For example, for a -6 speed device with a 27 MHz CLKIN frequency, the PLL multiply factor should be  
22.  
(2) The reference points for the rise and fall transitions are measured at VIL MAX and VIH MIN.  
(3) For more details on the PLL multiplier factors, see the TMS320DM63x DMP DSP Subsystem Reference Guide (literature number  
SPRU978).  
(4) C = CLKIN cycle time in ns. For example, when MXI/CLKIN frequency is 27 MHz, use C = 37.037 ns.  
(5) Applies only when using the VPBE for NTSC or PAL compliant video. For video and audio applications, stability of the input clock is very  
important. The user should select a clock with low enough ppm to ensure good video and audio quality for the specific application. If the  
VPBE is used for NTSC or PAL compliant video output, TI recommends a 27-MHz, 50-ppm clock. For more details on this NTSC and  
PAL compliant output video, see Section 6.10.2, Video Processing Back-End (VPBE).  
1
5
4
2
MXI/CLKIN  
3
4
Figure 6-12. MXI/CLKIN Timing  
Table 6-20. Switching Characteristics Over Recommended Operating Conditions for CLKOUT0(1)(2)  
(see Figure 6-13)  
-7/-6/-5/-4  
-L/-Q6/-Q5/-Q4  
NO.  
PARAMETER  
UNIT  
MIN  
MAX  
50 ns  
1
2
3
4
tC  
Cycle time, CLKOUT0  
33.3  
tw(CLKOUT0H)  
tw(CLKOUT0L)  
tt(CLKOUT0)  
Pulse duration, CLKOUT0 high  
Pulse duration, CLKOUT0 low  
Transition time, CLKOUT0  
0.45P  
0.55P ns  
0.55P ns  
0.05P ns  
0.45P  
(1) The reference points for the rise and fall transitions are measured at VOL MAX and VOH MIN.  
(2) P = 1/CLKOUT0 clock frequency in nanoseconds (ns). For example, when CLKOUT0 frequency is 27 MHz, use P = 37.04 ns.  
2
1
4
CLK_OUT0  
(Divide-by-1)  
4
3
Figure 6-13. CLKOUT0 Timing  
Submit Documentation Feedback  
Peripheral Information and Electrical Specifications  
181  
 
 
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
6.8 Interrupts  
The C64x+ DSP interrupt controller combines device events into 12 prioritized interrupts. The source for  
each of the 12 CPU interrupts is user programmable and is listed in Table 6-21. Also, the interrupt  
controller controls the generation of the CPU exception and emulation interrupts. The NMI input to the  
C64x+ DSP interrupt controller is not connected internally; therefore, the NMI interrupt is not available.  
Table 6-22 summarizes the C64x+ interrupt controller registers and memory locations. For more details on  
DSP interrupt controller, see the TMS320DM643x DMP DSP Subsystem Reference Guide (literature  
number SPRU978).  
Table 6-21. DM6433 DSP System Event Mapping  
DSP  
SYSTEM  
EVENT  
DSP  
INTERRUPT  
NUMBER  
ACRONYM  
SOURCE  
ACRONYM  
SOURCE  
NUMBER  
0
EVT0  
C64x+ Int Ctl 0  
C64x+ Int Ctl 1  
C64x+ Int Ctl 2  
C64x+ Int Ctl 3  
64  
65  
66  
67  
68  
69  
70  
71  
72  
73  
74  
75  
76  
77  
78  
79  
80  
81  
82  
83  
84  
85  
86  
87  
88  
89  
90  
91  
92  
93  
94  
95  
GPIO0  
GPIO1  
GPIO2  
GPIO3  
GPIO4  
GPIO5  
GPIO6  
GPIO7  
GPIO  
1
EVT1  
GPIO  
2
EVT2  
GPIO  
3
EVT3  
GPIO  
4
TINTL0  
TINTH0  
TINTL1  
TINTH1  
WDINT  
Timer 0 – TINT12  
Timer 0 – TINT34  
Timer 1 – TINT12  
Timer 1 – TINT34  
Timer 2 – TINT12  
C64x+ EMC  
Reserved  
GPIO  
5
GPIO  
6
GPIO  
7
GPIO  
8
GPIOBNK0  
GPIOBNK1  
GPIOBNK2  
GPIOBNK3  
GPIOBNK4  
GPIOBNK5  
GPIOBNK6  
GPIO  
9
EMU_DTDMA  
GPIO  
10  
11  
12  
13  
14  
15  
16  
17  
18  
19  
20  
21  
22  
23  
24  
25  
26  
27  
28  
29  
30  
31  
GPIO  
EMU_RTDXRX  
EMU_RTDXTX  
IDMAINT0  
C64x+ RTDX  
C64x+ RTDX  
C64x+ EMC 0  
C64x+ EMC 1  
Reserved  
GPIO  
GPIO  
GPIO  
IDMAINT1  
GPIO  
Reserved  
PWM0  
PWM1  
PWM2  
I2C  
Reserved  
PWM0  
Reserved  
PWM1  
Reserved  
PWM2  
Reserved  
IICINT0  
UARTINT0  
Reserved  
UART0  
Reserved  
Reserved  
Reserved  
Reserved  
Reserved  
Reserved  
Reserved  
Reserved  
Reserved  
Reserved  
Reserved  
Reserved  
Reserved  
Reserved  
Reserved  
Reserved  
Reserved  
Reserved  
Reserved  
Reserved  
RSZINT  
VPSS – Resizer  
Reserved  
VENCINT  
VPSS – VPBE (VENC)  
INTERR  
C64x+ Interrupt Controller Dropped CPU  
Interrupt Event  
32  
96  
33  
34  
35  
36  
37  
38  
39  
40  
41  
42  
Reserved  
97  
98  
EMC_IDMAERR  
C64x+ EMC Invalid IDMA Parameters  
EDMA3CC_INTG  
EDMA3CC_INT0  
EDMA3CC_INT1  
EDMA3CC_ERRINT  
EDMA3TC_ERRINT0  
EDMA3TC_ERRINT1  
EDMA3TC_ERRINT2  
PSCINT  
EDMACC Global Interupt  
EDMACC Interrupt Region 0  
EDMACC Interrupt Region 1  
EDMA CC Error  
Reserved  
Reserved  
Reserved  
Reserved  
Reserved  
Reserved  
Reserved  
Reserved  
Reserved  
99  
100  
101  
102  
103  
104  
105  
106  
EDMA TC0 Error  
EDMA TC1 Error  
EDMA TC2 Error  
PSC ALLINT  
Reserved  
182  
Peripheral Information and Electrical Specifications  
Submit Documentation Feedback  
 
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
Table 6-21. DM6433 DSP System Event Mapping (continued)  
DSP  
SYSTEM  
EVENT  
DSP  
INTERRUPT  
NUMBER  
ACRONYM  
SOURCE  
ACRONYM  
SOURCE  
NUMBER  
43  
44  
45  
46  
47  
48  
49  
50  
51  
52  
53  
54  
55  
56  
57  
58  
59  
60  
61  
62  
63  
EMACINT  
EMAC Memory Controller  
Reserved  
107  
108  
109  
110  
111  
112  
113  
114  
115  
116  
117  
118  
119  
120  
121  
122  
123  
124  
125  
126  
127  
Reserved  
Reserved  
Reserved  
Reserved  
Reserved  
Reserved  
HPIINT  
HPI  
Reserved  
MBXINT0  
MBRINT0  
McBSP0 Transmit  
McBSP0 Receive  
Reserved  
Reserved  
PMC_ED  
C64x+ PMC  
Reserved  
Reserved  
Reserved  
Reserved  
UMCED1  
C64x+ UMC 1  
C64x+ UMC 2  
C64x+ PDC  
C64x+ SYS  
C64x+ PMC  
C64x+ PMC  
C64x+ DMC  
C64x+ DMC  
C64x+ UMC  
C64x+ UMC  
C64x+ EMC  
C64x+ EMC  
DDRINT  
DDR2 Memory Controller  
EMIFA  
UMCED2  
EMIFAINT  
VLQINT  
PCIINT  
PDCINT  
VLYNQ  
SYSCMPA  
PMCCMPA  
PMCDMPA  
DMCCMPA  
DMCDMPA  
UMCCMPA  
UMCDMPA  
EMCCMPA  
EMCBUSERR  
PCI  
Reserved  
Reserved  
AXINT0  
ARINT0  
McASP0 Transmit  
McASP0 Receive  
Reserved  
Reserved  
Reserved  
Submit Documentation Feedback  
Peripheral Information and Electrical Specifications  
183  
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
Table 6-22. C64x+ Interrupt Controller Registers  
HEX ADDRESS  
0x0180 0000  
0x0180 0004  
0x0180 0008  
0x0180 000C  
0x0180 0020  
0x0180 0024  
0x0180 0028  
0x0180 002C  
0x0180 0040  
0x0180 0044  
0x0180 0048  
0x0180 004C  
0x0180 0080  
0x0180 0084  
0x0180 0088  
0x0180 008C  
0x0180 00A0  
0x0180 00A4  
0x0180 00A8  
0x0180 00AC  
0x0180 00C0  
0x0180 00C4  
0x0180 00C8  
0x0180 00CC  
0x0180 00E0  
0x0180 00E4  
0x0180 00E8  
0x0180 00EC  
0x0180 0104  
0x0180 0108  
0x0180 010C  
0x0180 0180  
0x0180 0184  
0x0180 0188  
ACRONYM  
EVTFLAG0  
EVTFLAG1  
EVTFLAG2  
EVTFLAG3  
EVTSET0  
REGISTER DESCRIPTION  
Event flag register 0  
Event flag register 1  
Event flag register 2  
Event flag register 3  
Event set register 0  
EVTSET1  
Event set register 1  
EVTSET2  
Event set register 2  
EVTSET3  
Event set register 3  
EVTCLR0  
Event clear register 0  
EVTCLR1  
Event clear register 1  
EVTCLR2  
Event clear register 2  
EVTCLR3  
Event clear register 3  
EVTMASK0  
EVTMASK1  
EVTMASK2  
EVTMASK3  
MEVTFLAG0  
MEVTFLAG1  
MEVTFLAG2  
MEVTFLAG3  
EXPMASK0  
EXPMASK1  
EXPMASK2  
EXPMASK3  
MEXPFLAG0  
MEXPFLAG1  
MEXPFLAG2  
MEXPFLAG3  
INTMUX1  
Event mask register 0  
Event mask register 1  
Event mask register 2  
Event mask register 3  
Masked event flag register 0  
Masked event flag register 1  
Masked event flag register 2  
Masked event flag register 3  
Exception mask register 0  
Exception mask register 1  
Exception mask register 2  
Exception mask register 3  
Masked exception flag register 0  
Masked exception flag register 1  
Masked exception flag register 2  
Masked exception flag register 3  
Interrupt mux register 1  
Interrupt mux register 2  
Interrupt mux register 3  
Interrupt exception status  
Interrupt exception clear  
Dropped interrupt mask register  
INTMUX2  
INTMUX3  
INTXSTAT  
INTXCLR  
INTDMASK  
184  
Peripheral Information and Electrical Specifications  
Submit Documentation Feedback  
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
6.9 External Memory Interface (EMIF)  
DM6433 supports several memory and external device interfaces, including:  
Asynchronous EMIF (EMIFA) for interfacing to NOR Flash, SRAM, etc.  
NAND Flash  
6.9.1 Asynchronous EMIF (EMIFA)  
The DM6433 Asynchronous EMIF (EMIFA) provides an 8-bit data bus, an address bus width up to 24-bits,  
and 4 chip selects, along with memory control signals. These signals are multiplexed between these  
peripherals:  
EMIFA and NAND interfaces  
VPBE (VENC)  
PCI  
GPIO  
6.9.2 NAND (NAND, SmartMedia, xD)  
The EMIFA interface provides both the asynchronous EMIF and NAND interfaces. Four chip selects are  
provided and each are individually configurable to provide either EMIFA or NAND support. The NAND  
features supported are as follows.  
NAND flash on up to 4 asynchronous chip selects.  
8-bit data bus width  
Programmable cycle timings.  
Performs ECC calculation.  
NAND Mode also supports SmartMedia and xD memory cards  
Boot ROM supports booting of the DM6433 from NAND flash located at CS2  
The memory map for EMIFA and NAND registers is shown in Table 6-23. For more details on the EMIFA  
and NAND interfaces, see Section 2.9, Documentation Support for the link to the TMS320DM643x DMP  
Peripherals Overview Reference Guide (literature number SPRU983) for the TMS320DM643x  
Asynchronous External Memory Interface (EMIF) User's Guide (literature number SPRU984).  
Submit Documentation Feedback  
Peripheral Information and Electrical Specifications  
185  
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
Table 6-23. EMIFA/NAND Registers  
HEX ADDRESS RANGE  
0x01E0 0000  
ACRONYM  
REGISTER NAME  
RCSR  
AWCCR  
-
Revision Code and Status Register  
0x01E0 0004  
Asynchronous Wait Cycle Configuration Register  
Reserved  
0x01E0 0008 - 0x01E0 000F  
0x01E0 0010  
A1CR  
Asynchronous 1 Configuration Register (CS2 Space)  
Asynchronous 2 Configuration Register (CS3 Space)  
Asynchronous 3 Configuration Register (CS4 Space)  
Asynchronous 4 Configuration Register (CS5 Space)  
Reserved  
0x01E0 0014  
A2CR  
0x01E0 0018  
A3CR  
0x01E0 001C  
A4CR  
0x01E0 0020 - 0x01E0 003F  
0x01E0 0040  
-
EIRR  
EMIF Interrupt Raw Register  
0x01E0 0044  
EIMR  
EMIF Interrupt Mask Register  
0x01E0 0048  
EIMSR  
EIMCR  
-
EMIF Interrupt Mask Set Register  
EMIF Interrupt Mask Clear Register  
Reserved  
0x01E0 004C  
0x01E0 0050 - 0x01E0 005F  
0x01E0 0060  
NANDFCR  
NANDFSR  
NANDF1ECC  
NANDF2ECC  
NANDF3ECC  
NANDF4ECC  
-
NAND Flash Control Register  
0x01E0 0064  
NAND Flash Status Register  
0x01E0 0070  
NAND Flash 1 ECC Register (CS2 Space)  
NAND Flash 2 ECC Register (CS3 Space)  
NAND Flash 3 ECC Register (CS4 Space)  
NAND Flash 4 ECC Register (CS5 Space)  
Reserved  
0x01E0 0074  
0x01E0 0078  
0x01E0 007C  
0x01E0 0080 - 0x01E0 0FFF  
186  
Peripheral Information and Electrical Specifications  
Submit Documentation Feedback  
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
6.9.3 EMIFA Electrical Data/Timing  
Table 6-24. Timing Requirements for Asynchronous Memory Cycles for EMIFA Module(1)  
(see Figure 6-14 and Figure 6-15)  
-7/-6/-5/-4  
-L/-Q6/-Q5/-Q4  
NO.  
UNIT  
MIN  
NOM  
MAX  
READS and WRITES  
Pulse duration, EM_WAIT assertion and deassertion  
READS  
2
tw(EM_WAIT)  
2E  
ns  
12 tsu(EMDV-EMOEH) Setup time, EM_D[7:0] valid before EM_OE high  
5
0
ns  
ns  
13 th(EMOEH-EMDIV)  
Hold time, EM_D[7:0] valid after EM_OE high  
Setup time, EM_WAIT asserted before EM_OE high(2)  
WRITES  
tsu(EMWAIT-  
EMOEH)  
14  
4E + 5  
ns  
tsu(EMWAIT-  
EMWEH)  
28  
Setup time, EM_WAIT asserted before EM_WE high(2)  
4E + 5  
ns  
(1) E = SYSCLK3 period in ns for EMIFA. For example, when running the DSP CPU at 600 MHz, use E = 10 ns.  
(2) Setup before end of STROBE phase (if no extended wait states are inserted) by which EM_WAIT must be asserted to add extended  
wait states. Figure 6-16 and Figure 6-17 describe EMIF transactions that include extended wait states inserted during the STROBE  
phase. However, cycles inserted as part of this extended wait period should not be counted; the 4E requirement is to the start of where  
the HOLD phase would begin if there were no extended wait cycles.  
Submit Documentation Feedback  
Peripheral Information and Electrical Specifications  
187  
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
Table 6-25. Switching Characteristics Over Recommended Operating Conditions for Asynchronous  
Memory Cycles for EMIFA Module(1)(2) (see Figure 6-14 and Figure 6-15)  
-7/-6/-5/-4  
-L/-Q6/-Q5/-Q4  
NO  
.
PARAMETER  
UNIT  
MIN  
NOM  
MAX  
READS and WRITES  
1
3
td(TURNAROUND)  
Turn around time  
(TA + 1) * E  
ns  
ns  
READS  
(RS + RST + RH +  
TA + 4) * E(3)  
tc(EMRCYCLE)  
EMIF read cycle time  
Output setup time, EM_CS[5:2] low to  
EM_OE low (SS = 0)  
(RS + 1) * E - 4  
-4  
(RS + 1) * E + 4 ns  
ns  
(RH + 1) * E + 4 ns  
ns  
4
5
tsu(EMCSL-EMOEL)  
Output setup time, EM_CS[5:2] low to  
EM_OE low (SS = 1)  
4
Output hold time, EM_OE high to  
EM_CS[5:2] high (SS = 0)  
(RH + 1) * E - 4  
-4  
th(EMOEH-EMCSH)  
Output hold time, EM_OE high to  
EM_CS[5:2] high (SS = 1)  
4
Output setup time, EM_BA[1:0] valid to  
EM_OE low  
6
7
8
9
tsu(EMBAV-EMOEL)  
th(EMOEH-EMBAIV)  
tsu(EMBAV-EMOEL)  
th(EMOEH-EMBAIV)  
(RS + 1) * E - 4  
(RH + 1) * E - 4  
(RS + 1) * E - 4  
(RH + 1) * E - 4  
(RS + 1) * E + 4 ns  
(RH + 1) * E + 4 ns  
(RS + 1) * E + 4 ns  
Output hold time, EM_OE high to  
EM_BA[1:0] invalid  
Output setup time, EM_A[21:0] valid to  
EM_OE low  
Output hold time, EM_OE high to  
EM_A[21:0] invalid  
(RH + 1) * E + 4 ns  
10 tw(EMOEL)  
EM_OE active low width  
(RST + 1) * E(3)  
ns  
Delay time from EM_WAIT deasserted  
to EM_OE high  
11 td(EMWAITH-EMOEH)  
4E + 4 ns  
WRITES  
(WS + WST + WH +  
TA + 4) * E(3)  
15 tc(EMWCYCLE)  
EMIF write cycle time  
ns  
Output setup time, EM_CS[5:2] low to  
EM_WE low (SS = 0)  
(WS + 1) * E - 4  
-4  
(WS + 1) * E + 4 ns  
16 tsu(EMCSL-EMWEL)  
Output setup time, EM_CS[5:2] low to  
EM_WE low (SS = 1)  
4
ns  
(WH + 1) * E + 4 ns  
ns  
Output hold time, EM_WE high to  
EM_CS[5:2] high (SS = 0)  
(WH + 1) * E - 4  
-4  
17 th(EMWEH-EMCSH)  
Output hold time, EM_WE high to  
EM_CS[5:2] high (SS = 1)  
4
Output setup time, EM_R/W valid to  
EM_WE low  
18 tsu(EMRNW-EMWEL)  
19 th(EMWEH-EMRNW)  
20 tsu(EMBAV-EMWEL)  
21 th(EMWEH-EMBAIV)  
22 tsu(EMAV-EMWEL)  
23 th(EMWEH-EMAIV)  
(WS + 1) * E - 4  
(WH + 1) * E - 4  
(WS + 1) * E - 4  
(WH + 1) * E - 4  
(WS + 1) * E - 4  
(WH + 1) * E - 4  
(WS + 1) * E + 4 ns  
(WH + 1) * E + 4 ns  
(WS + 1) * E + 4 ns  
(WH + 1) * E + 4 ns  
(WS + 1) * E + 4 ns  
(WH + 1) * E + 4 ns  
Output hold time, EM_WE high to  
EM_R/W invalid  
Output setup time, EM_BA[1:0] valid to  
EM_WE low  
Output hold time, EM_WE high to  
EM_BA[1:0] invalid  
Output setup time, EM_A[21:0] valid to  
EM_WE low  
Output hold time, EM_WE high to  
EM_A[21:0] invalid  
(1) RS = Read setup, RST = Read STrobe, RH = Read Hold, WS = Write Setup, WST = Write STrobe, WH = Write Hold, TA = Turn  
Around, EW = Extend Wait mode, SS = Select Strobe mode. These parameters are programmed via the Asynchronous n Configuration  
and Asynchronous Wait Cycle Configuration Registers.  
(2) E = SYSCLK3 period in ns for EMIFA. For example, when running the DSP CPU at 600 MHz, use E = 10 ns.  
(3) When EW = 1, the EMIF will extend the strobe period up to 4,096 additional cycles when the EM_WAIT pin is asserted by the external  
device.  
188  
Peripheral Information and Electrical Specifications  
Submit Documentation Feedback  
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
Table 6-25. Switching Characteristics Over Recommended Operating Conditions for Asynchronous  
Memory Cycles for EMIFA Module (see Figure 6-14 and Figure 6-15) (continued)  
-7/-6/-5/-4  
-L/-Q6/-Q5/-Q4  
NO  
.
PARAMETER  
UNIT  
MIN  
NOM  
MAX  
24 tw(EMWEL)  
EM_WE active low width  
(WST + 1) * E(3)  
ns  
Delay time from EM_WAIT deasserted  
to EM_WE high  
25 td(EMWAITH-EMWEH)  
4E + 4 ns  
Output setup time, EM_D[7:0] valid to  
EM_WE low  
26 tsu(EMDV-EMWEL)  
27 th(EMWEH-EMDIV)  
(WS + 1) * E - 4  
(WH + 1) * E - 4  
(WS + 1) * E + 4 ns  
(WH + 1) * E + 4 ns  
Output hold time, EM_WE high to  
EM_D[7:0] invalid  
3
1
EM_CS[5:2]  
EM_R/W  
EM_BA[1:0]  
EM_A[21:0]  
4
8
5
9
7
6
10  
EM_OE  
13  
12  
EM_D[7:0]  
EM_WE  
Figure 6-14. Asynchronous Memory Read Timing for EMIF  
Submit Documentation Feedback  
Peripheral Information and Electrical Specifications  
189  
 
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
15  
1
EM_CS[5:2]  
EM_R/W  
EM_BA[1:0]  
EM_A[21:0]  
16  
18  
20  
22  
17  
19  
21  
23  
24  
EM_WE  
27  
26  
EM_D[7:0]  
EM_OE  
Figure 6-15. Asynchronous Memory Write Timing for EMIF  
SETUP  
STROBE  
Extended Due to EM_WAIT  
STROBE HOLD  
EM_CS[5:2]  
EM_BA[1:0]  
EM_A[21:0]  
EM_D[7:0]  
14  
11  
EM_OE  
2
2
Asserted  
Deasserted  
EM_WAIT  
Figure 6-16. EM_WAIT Read Timing Requirements  
190  
Peripheral Information and Electrical Specifications  
Submit Documentation Feedback  
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
SETUP  
STROBE  
Extended Due to EM_WAIT  
STROBE HOLD  
EM_CS[5:2]  
EM_BA[1:0]  
EM_A[21:0]  
EM_D[7:0]  
28  
25  
EM_WE  
2
2
Asserted  
Deasserted  
EM_WAIT  
Figure 6-17. EM_WAIT Write Timing Requirements  
Submit Documentation Feedback  
Peripheral Information and Electrical Specifications  
191  
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
6.9.4 DDR2 Memory Controller  
The DDR2 Memory Controller is a dedicated interface to DDR2 SDRAM. It supports JESD79D-2A  
standard compliant DDR2 SDRAM Devices and can interface to either 16-bit or 32-bit DDR2 SDRAM  
devices. For details on the DDR2 Memory Controller, see the TMS320DM643x DMP DDR2 Memory  
Controller User’s Guide (literature number SPRU986).  
DDR2 SDRAM plays a key role in a DaVinci-based system. Such a system is expected to require a  
significant amount of high-speed external memory for:  
Numerous OSD display buffers  
Buffering for intermediate data while performing video decode functions  
Storage of executable code for the DSP  
A memory map of the DDR2 Memory Controller registers is shown in Table 6-26.  
Table 6-26. DDR2 Memory Controller Registers  
HEX ADDRESS RANGE  
0x01C4 004C  
ACRONYM  
DDRVTPER  
DDRVTPR  
-
REGISTER NAME  
DDR2 VTP Enable Register  
DDR2 VTP Register  
Reserved  
0x01C4 2038  
0x2000 0000 - 0x2000 0003  
0x2000 0004  
SDRSTAT  
SDBCR  
SDRCR  
SDTIMR  
SDTIMR2  
PBBPR  
-
SDRAM Status Register  
0x2000 0008  
SDRAM Bank Configuration Register  
SDRAM Refresh Control Register  
SDRAM Timing Register  
SDRAM Timing Register 2  
Peripheral Bus Burst Priority Register  
Reserved  
0x2000 000C  
0x2000 0010  
0x2000 0014  
0x2000 0020  
0x2000 0024 - 0x2000 00BF  
0x2000 00C0  
IRR  
Interrupt Raw Register  
Interrupt Masked Register  
Interrupt Mask Set Register  
Interrupt Mask Clear Register  
Reserved  
0x2000 00C4  
IMR  
0x2000 00C8  
IMSR  
0x2000 00CC  
IMCR  
0x2000 00D0 - 0x2000 00E3  
0x2000 00E4  
-
DDRPHYCR  
-
DDR PHY Control Register  
Reserved  
0x2000 00E8 - 0x2000 00EF  
0x2000 00F0  
VTPIOCR  
-
DDR VTP IO Control Register  
Reserved  
0x2000 00F4 - 0x2000 7FFF  
6.9.4.1 DDR2 Memory Controller Electrical Data/Timing  
The Implementing DDR2 PCB Layout on the TMS320DM643x DMP DMSoC Application Report (literature  
number SPRAAL6) specifies a complete DDR2 interface solution for the DM6433 as well as a list of  
compatible DDR2 devices. TI has performed the simulation and system characterization to ensure all  
DDR2 interface timings in this solution are met.  
TI only supports board designs that follow the guidelines outlined in the Implementing DDR2 PCB Layout  
on the TMS320DM643x DMP DMSoC Application Report (literature number SPRAAL6).  
192  
Peripheral Information and Electrical Specifications  
Submit Documentation Feedback  
 
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
Table 6-27. Switching Characteristics Over Recommended Operating Conditions for DDR2 Memory  
Controller(1)(2)(see Figure 6-18)  
-7/-6/-5/-4  
-L/-Q6/-Q5/-Q4  
NO.  
PARAMETER  
UNIT  
MIN  
MAX  
1
tc(DDR_CLK)  
Cycle time, DDR_CLK  
6
8
ns  
(1) DDR_CLK cycle time = 2 x PLL2 _SYSCLK1 cycle time.  
(2) The PLL2 Controller must be programmed such that the resulting DDR_CLK clock frequency is within the specified range.  
1
DDR_CLK  
Figure 6-18. DDR2 Memory Controller Clock Timing  
Submit Documentation Feedback  
Peripheral Information and Electrical Specifications  
193  
 
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
6.10 Video Processing Sub-System (VPSS) Overview  
The DM6433 Video Processing Sub-System (VPSS) provides a Video Processing Front End (VPFE) input  
interface for external imaging peripherals (Resizer only) and a Video Processing Back End (VPBE) output  
interface for display devices, such as analog SDTV displays, digital LCD panels, HDTV video encoders,  
etc.  
The VPSS register memory mapping is shown in Table 6-28.  
Table 6-28. VPSS Register Descriptions  
HEX ADDRESS RANGE  
0x01C7 3400  
REGISTER ACRONYM  
Description  
Peripheral Revision and Class Information  
VPSS Control Register  
PID  
PCR  
-
0x01C7 3404  
0x01C7 3408  
Reserved  
0x01C7 3508  
SDR_REG_EXP  
-
SDRAM Non Real-Time Read Request Expand  
Reserved  
0x01C7 350C -  
0x01C7 3FFF  
6.10.1 Video Processing Front-End (VPFE)  
The Video Processing Front-End (VPFE) on the DM6433 consists of the Resizer. The Resizer module  
re-sizes the input image data to the desired display or video encoding resolution.  
The VPFE register memory mapping is shown in Table 6-29.  
Table 6-29. VPFE Register Address Range Descriptions  
HEX ADDRESS RANGE  
0x01C7 0400 – 0x01C7 0BFF  
0x01C7 0C00 – 0x01C7 09FF  
0x01C7 1000 – 0x01C7 17FF  
0x01C7 3400 – 0x01C7 3FFF  
ACRONYM  
REGISTER NAME  
Reserved  
RESZ  
VPFE – Resizer  
Reserved  
VPSS  
VPSS Shared Buffer Logic Registers (see Table 6-28)  
6.10.1.1 Resizer  
The resizer module can accept input image/video data from the DDR2. The output of the resizer module is  
sent to DDR2. The following features are supported by the resizer module.  
An output width up to 1280 horizontal pixels.  
Input from external DDR2.  
Up to 4x upsampling (digital zoom).  
Bi-cubic interpolation (4-tap horizontal, 4-tap vertical) can be implemented with the programmable filter  
coefficients.  
8 phases of filter coefficients.  
Optional bi-linear interpolation for the chrominance components.  
Up to 1/4x downsampling  
4-tap horizontal and 4-tap vertical filter coefficients (with 8-phases) for 1x to 1/2x downsampling  
1/2x to 1/4x downsampling, for 7-tap mode with 4-phases.  
Resizing either YUV 4:2:2 packed data (16-bits) or color separate data (8-bit data within DDR) that is  
contiguous.  
Separate/independent resizing factor for the horizontal and vertical directions.  
Upsampling and downsampling ratios that are available are: 256/N, with N ranging from 64 to 1024.  
Programmable luminance sharpening after the horizontal resizing and before the vertical resizing step.  
The Resizer register memory mapping is shown in Table 6-30.  
194  
Peripheral Information and Electrical Specifications  
Submit Documentation Feedback  
 
 
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
Table 6-30. Resizer Register Descriptions  
HEX ADDRESS RANGE  
0x01C7 0C00  
REGISTER ACRONYM  
DESCRIPTION  
Peripheral Revision and Class Information  
Peripheral Control Register  
PID  
0x01C7 0C04  
PCR  
0x01C7 0C08  
RSZ_CNT  
Resizer Control Bits  
The DM6433 device does not support Preview Engine on VPFE.  
Note: For proper DM6433 device operation, the RSZ_CNT.INPSRC bit  
field must be set to DDR2 Memory Controller (SDRAM).  
0x01C7 0C0C  
0x01C7 0C10  
0x01C7 0C14  
0x01C7 0C18  
0x01C7 0C1C  
0x01C7 0C20  
0x01C7 0C24  
0x01C7 0C28  
0x01C7 0C2C  
0x01C7 0C30  
0x01C7 0C34  
0x01C7 0C38  
0x01C7 0C3C  
0x01C7 0C40  
0x01C7 0C44  
0x01C7 0C48  
0x01C7 0C4C  
0x01C7 0C50  
0x01C7 0C54  
0x01C7 0C58  
0x01C7 0C5C  
0x01C7 0C60  
0x01C7 0C64  
0x01C7 0C68  
0x01C7 0C6C  
0x01C7 0C70  
0x01C7 0C74  
0x01C7 0C78  
0x01C7 0C7C  
0x01C7 0C80  
0x01C7 0C84  
0x01C7 0C88  
0x01C7 0C8C  
0x01C7 0C90  
0x01C7 0C94  
0x01C7 0C98  
0x01C7 0C9C  
0x01C7 0CA0  
0x01C7 0CA4  
0x01C7 0CA8  
OUT_SIZE  
IN_START  
IN_SIZE  
Output Width and Height After Resizing  
Input Starting Information  
Input Width and Height Before Resizing  
Input SDRAM Address  
SDR_INADD  
SDR_INOFF  
SDR_OUTADD  
SDR_OUTOFF  
HFILT10  
SDRAM Offset for the Input Line  
Output SDRAM Address  
SDRAM Offset for the Output Line  
Horizontal Filter Coefficients 1 and 0  
Horizontal Filter Coefficients 3 and 2  
Horizontal Filter Coefficients 5 and 4  
Horizontal Filter Coefficients 7 and 6  
Horizontal Filter Coefficients 9 and 8  
Horizontal Filter Coefficients 11 and 10  
Horizontal Filter Coefficients 13 and 12  
Horizontal Filter Coefficients 15 and 14  
Horizontal Filter Coefficients 17 and 16  
Horizontal Filter Coefficients 19 and 18  
Horizontal Filter Coefficients 21 and 20  
Horizontal Filter Coefficients 23 and 22  
Horizontal Filter Coefficients 25 and 24  
Horizontal Filter Coefficients 27 and 26  
Horizontal Filter Coefficients 29 and 28  
Horizontal Filter Coefficients 31 and 30  
Vertical Filter Coefficients 1 and 0  
Vertical Filter Coefficients 3 and 2  
Vertical Filter Coefficients 5 and 4  
Vertical Filter Coefficients 7 and 6  
Vertical Filter Coefficients 9 and 8  
Vertical Filter Coefficients 11 and 10  
Vertical Filter Coefficients 13 and 12  
Vertical Filter Coefficients 15 and 14  
Vertical Filter Coefficients 17 and 16  
Vertical Filter Coefficients 19 and 18  
Vertical Filter Coefficients 21 and 20  
Vertical Filter Coefficients 23 and 22  
Vertical Filter Coefficients 25 and 24  
Vertical Filter Coefficients 27 and 26  
Vertical Filter Coefficients 29 and 28  
Vertical Filter Coefficients 31 and 30  
Luminance Enhancer  
HFILT32  
HFILT54  
HFILT76  
HFILT98  
HFILT1110  
HFILT1312  
HFILT1514  
HFILT1716  
HFILT1918  
HFILT2120  
HFILT2322  
HFILT2524  
HFILT2726  
HFILT2928  
HFILT3130  
VFILT10  
VFILT32  
VFILT54  
VFILT76  
VFILT98  
VFILT1110  
VFILT1312  
VFILT1514  
VFILT1716  
VFILT1918  
VFILT2120  
VFILT2322  
VFILT2524  
VFILT2726  
VFILT2928  
VFILT3130  
YENH  
Submit Documentation Feedback  
Peripheral Information and Electrical Specifications  
195  
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
6.10.2 Video Processing Back-End (VPBE)  
The Video Processing Back-End (VPBE) consists of the On-Screen Display (OSD) module, the Video  
Encoder (VENC) including the Digital LCD (DLCD) and Analog (i.e., DAC) interfaces. The video encoder  
generates analog video output. The DLCD controller generates digital RGB/YCbCr data output and timing  
signals.  
The VPBE register memory mapping is shown in Table 6-31.  
Table 6-31. VPBE Register Descriptions  
Address  
Register  
PID  
Description  
Peripheral Revision and Class Information Register  
Peripheral Control Register  
0x01C7 2780  
0x01C7 2784  
PCR  
To ensure NTSC and PAL compliant output video, the stability of the input clock source is very important.  
TI recommends a 27-MHz, 50-ppm crystal. Ceramic oscillators are not recommended. The NTSC/PAL  
color sub-carrier frequency is derived from the 27-MHz clock; therefore, if the 27-MHz clock drifts, then the  
color sub-carrier frequency will drift as well. Assuming no 27-MHz frequency drift, the color sub-carrier  
frequency is generated as follows:  
æ
ç
è
ö
÷
ø
35  
f
= 27 MHz  
= 3.5795454545 MHz  
sc-ntsc  
264  
æ
ö
167  
f
= 27 MHz  
= 4.4332628318 MHz  
ç
÷
sc- pal  
1017  
è
ø
To ensure the color sub-carrier frequency will not drift out of specification, the user must follow the crystal  
requirements discussed in Section 6.6.1, Clock Input Option 1—Crystal. Alternatively, if the VPBE input  
clock is sourced from the VPBECLK, this clock must have a frequency stability of ±50 ppm to ensure  
NTSC and PAL compliant output video.  
6.10.2.1 On-Screen Display (OSD)  
The major function of the OSD module is to gather and blend video data and display/bitmap data before  
feeding it to the Video Encoder (VENC) in YCbCr format. The video and display data is read from an  
external memory, typically DDR2. The OSD is programmed via control and parameter registers. The  
following are the primary features that are supported by the OSD.  
Simultaneous display of two video windows and two OSD windows (VIDWIN0/VIDWIN1 and  
OSDWIN0/OSDWIN1).  
Separate enable for each window  
Programmable width, height, and base starting coordinates for each window  
External memory address and offset registers for each window  
Support for x2 and x4 zoom in both the horizontal and vertical direction  
OSDWIN1 can be used as an attribute window for OSDWIN0  
Attribute window blinking intervals  
Field/frame mode for the windows (interlaced/progressive)  
Eight step blending process between the OSD and video windows  
Transparency support for the OSD and video data (when a bitmap pixel is zero, there will be no  
blending for that corresponding video pixel)  
Resize from VGA to NTSC/PAL (640x480 to 720x576) for both the OSD and video windows  
Reads in YCbCr data in 4:2:2 format from external memory, with the capability for swapping the  
order of the CbCr component in the 32-bit word (this is relevant to the two video windows)  
Support for a ping-pong buffer scheme that can be used for VIDWIN0 (allows for video data to be  
accessed from two different locations in DDR2)  
Each OSD window (either one, but not both at the same time) is capable of reading in RGB data  
196  
Peripheral Information and Electrical Specifications  
Submit Documentation Feedback  
 
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
(16-bit data with six bits for the green and five bits each for the red and blue colors) instead of  
bitmap data in YCbCr format restricted to a maximum of 8-bits  
The OSD bitmap data width is selectable between 1, 2, 4, or 8-bits.  
Each OSD window supports 16 entries for the bitmap (to index into a 256 entry RAM/ROM CLUT  
table).  
Indirect support for 24-bit RGB input data (which will be transformed into 16-bit YCbCr video  
window data) via the wrapper interface in the VPBE.  
Support for a rectangular cursor window and a programmable background color selection.  
Programmable color palette with the ability to select between a RAM/ROM table with support for  
256 colors.  
The width, height, and color of the cursor is programmable.  
The display priority is: Rectangular-Cursor > OSDWIN1 > OSDWIN0 > VIDWIN1 > VIDWIN0 >  
background color  
Support for attenuation of the YCbCr values for the REC601 standard.  
The following restrictions exist in the OSD module.  
Both the OSD windows and VIDWIN1 should be fully contained inside VIDWIN0.  
When one of the OSD windows is set in RGB mode, it cannot overlap with VIDWIN1.  
The OSD cannot support more than 256 color entries in the CLUT RAM/ROM. Some applications  
require higher number of entries, and one workaround is to use VIDWIN1 as an overlay mimicking the  
OSD window. Another option is to use the RGB mode for one of the OSD windows which allows for a  
total of 16-bits for the R, G, and B colors (64K colors).  
The OSD can only read YCbCr in 4:2:2 interleaved format for the video windows. Other formats, either  
color separate storage or 4:4:4/4:2:0 interleaved data is not supported.  
If the vertical resize filter is enabled for either of the video windows, the maximum horizontal window  
dimension cannot be greater than 720 currently.  
It is not possible to use both of the CLUT ROMs at the same time. However, one window can use  
RAM while another uses ROM.  
The 24-bit RGB input mode is only valid for one of the two video windows (programmable) and does  
not apply to the OSD windows.  
The OSD register memory mapping is shown in Table 6-32.  
Table 6-32. OSD Register Descriptions  
Address  
Register  
Description  
0x01C7 2600  
0x01C7 2604  
0x01C7 2608  
0x01C7 260C  
0x01C7 260C  
0x01C7 2610  
0x01C7 2614  
0x01C7 2618  
0x01C7 261C  
0x01C7 2620  
0x01C7 2624  
0x01C7 2628  
0x01C7 262C  
0x01C7 2630  
0x01C7 2634  
MODE  
OSD Mode Register  
VIDWINMD  
OSDWIN0MD  
OSDWIN1MD  
OSDATRMD  
RECTCUR  
RSV0  
Video Window Mode Setup  
OSD Window Mode Setup  
OSD Window 1 Mode Setup (when used as a second OSD window)  
OSD Attribute Window Mode Setup (when used as an attribute window)  
Rectangular Cursor Setup  
Reserved  
VIDWIN0OFST  
VIDWIN1OFST  
OSDWIN0OFST  
OSDWIN1OFST  
RSV1  
Video Window 0 Offset  
Video Window 1 Offset  
OSD Window 0 Offset  
OSD Window 1 Offset  
Reserved  
VIDWIN0ADR  
VIDWIN1ADR  
RSV2  
Video Window 0 Address  
Video Window 1 Address  
Reserved  
Submit Documentation Feedback  
Peripheral Information and Electrical Specifications  
197  
 
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
Table 6-32. OSD Register Descriptions (continued)  
0x01C7 2638  
0x01C7 263C  
0x01C7 2640  
0x01C7 2644  
0x01C7 2648  
0x01C7 264C  
0x01C7 2650  
0x01C7 2654  
0x01C7 2658  
0x01C7 265C  
0x01C7 2660  
0x01C7 2664  
0x01C7 2668  
0x01C7 266C  
0x01C7 2670  
0x01C7 2674  
0x01C7 2678  
0x01C7 267C  
0x01C7 2680  
0x01C7 2684  
0x01C7 2688  
0x01C7 268C  
0x01C7 2690  
0x01C7 2694  
0x01C7 2698  
0x01C7 269C  
0x01C7 26A0  
0x01C7 26A4  
0x01C7 26A8  
0x01C7 26AC  
0x01C7 26B0  
0x01C7 26B4  
0x01C7 26B8  
0x01C7 26BC  
0x01C7 26C0  
0x01C7 26C4  
0x01C7 26C8  
0x01C7 26CC  
0x01C7 26D0  
0x01C7 26D4  
0x01C7 26D8  
0x01C7 26DC  
0x01C7 26E0  
0x01C7 26E4  
0x01C7 26E8  
0x01C7 26EC  
0x01C7 26F0  
0x01C7 26F4  
OSDWIN0ADR  
OSDWIN1ADR  
BASEPX  
OSD Window 0 Address  
OSD Window 1 Address  
Base Pixel X  
BASEPY  
Base Pixel Y  
VIDWIN0XP  
VIDWIN0YP  
VIDWIN0XL  
VIDWIN0YL  
VIDWIN1XP  
VIDWIN1YP  
VIDWIN1XL  
VIDWIN1YL  
OSDWIN0XP  
OSDWIN0YP  
OSDWIN0XL  
OSDWIN0YL  
OSDWIN1XP  
OSDWIN1YP  
OSDWIN1XL  
OSDWIN1YL  
CURXP  
Video Window 0 X-Position  
Video Window 0 Y-Position  
Video Window 0 X-Size  
Video Window 0 Y-Size  
Video Window 1 X-Position  
Video Window 1 Y-Position  
Video Window 1 X-Size  
Video Window 1 Y-Size  
OSD Bitmap Window 0 X-Position  
OSD Bitmap Window 0 Y-Position  
OSD Bitmap Window 0 X-Size  
OSD Bitmap Window 0 Y-Size  
OSD Bitmap Window 1 X-Position  
OSD Bitmap Window 1 Y-Position  
OSD Bitmap Window 1 X-Size  
OSD Bitmap Window 1 Y-Size  
Rectangular Cursor Window X-Position  
Rectangular Cursor Window Y-Position  
Rectangular Cursor Window X-Size  
Rectangular Cursor Window Y-Size  
Reserved  
CURYP  
CURXL  
CURYL  
RSV3  
RSV4  
Reserved  
W0BMP01  
W0BMP23  
W0BMP45  
W0BMP67  
W0BMP89  
W0BMPAB  
W0BMPCD  
W0BMPEF  
W1BMP01  
W1BMP23  
W1BMP45  
W1BMP67  
W1BMP89  
W1BMPAB  
W1BMPCD  
W1BMPEF  
-
Window 0 Bitmap Value to Palette Map 0/1  
Window 0 Bitmap Value to Palette Map 2/3  
Window 0 Bitmap Value to Palette Map 4/5  
Window 0 Bitmap Value to Palette Map 6/7  
Window 0 Bitmap Value to Palette Map 8/9  
Window 0 Bitmap Value to Palette Map A/B  
Window 0 Bitmap Value to Palette Map C/D  
Window 0 Bitmap Value to Palette Map E/F  
Window 1 Bitmap Value to Palette Map 0/1  
Window 1 Bitmap Value to Palette Map 2/3  
Window 1 Bitmap Value to Palette Map 4/5  
Window 1 Bitmap Value to Palette Map 6/7  
Window 1 Bitmap Value to Palette Map 8/9  
Window 1 Bitmap Value to Palette Map A/B  
Window 1 Bitmap Value to Palette Map C/D  
Window 1 Bitmap Value to Palette Map E/F  
Reserved  
RSV5  
Reserved  
MISCCTL  
Miscellaneous Control  
CLUTRAMYCB  
CLUTRAMCR  
TRANSPVAL  
CLUT RAMYCB Setup  
CLUT RAM Setup  
CLUT RAM Setup  
198  
Peripheral Information and Electrical Specifications  
Submit Documentation Feedback  
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
Table 6-32. OSD Register Descriptions (continued)  
0x01C7 26F8  
0x01C7 26FC  
RSV6  
Reserved  
PPVWIN0ADR  
Ping-Pong Video Window 0 Address  
6.10.2.2 Video Encoder (VENC)  
Analog/DACs interface of the Video Encoder (VENC) supports the following features.  
Master Clock Input - 27MHz (x2 Upsampling)  
SDTV Support  
Composite NTSC-M, PAL-B/D/G/H/I  
S-Video (Y/C)  
Component YPbPr (SMPTE/EBU N10, Betacam, MII)  
RGB  
Non-Interlace  
CGMS/WSS  
Line 21 Closed Caption Data Encoding  
Chroma Low Pass Filter 1.5MHz/3MHz  
Programmable SC-H phase  
HDTV Support  
Progressive Output (525p/625p)  
Component YPbPr  
RGB  
CGMS/WSS  
4 10-bit Over-Sampling D/A Converters  
Optional 7.5% Pedestal  
16-235/0-255 Input Amplitude Selectable  
Programmable Luma Delay  
Master/Slave Operation  
Internal Color Bar Generation (100%/75%)  
The Digital LCD Controller (DLCD) of the VENC supports the following features.  
Programmable DCLK  
Various Output Formats  
YCbCr 16bit  
YCbCr 8bit  
ITU-R BT. 656  
Parallel RGB 24bit  
Low Pass Filter for Digital RGB Output  
Programmable Timing Generator  
Master/Slave Operation  
Internal Color Bar Generation (100%/75%)  
The VENC register memory mapping including the Digital LCD and DACs is shown in Table 6-33.  
Table 6-33. VENC (Including Digital LCD and DACs) Register Descriptions  
Address  
Register  
Description  
0x01C7 2400  
0x01C7 2404  
0x01C7 2408  
VMOD  
Video Mode  
VIDCTL  
VDPRO  
Video Interface I/O Control  
Video Data Processing  
Submit Documentation Feedback  
Peripheral Information and Electrical Specifications  
199  
 
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
Table 6-33. VENC (Including Digital LCD and DACs) Register Descriptions (continued)  
0x01C7 240C  
0x01C7 2410  
0x01C7 2414  
0x01C7 2418  
0x01C7 241C  
0x01C7 2420  
0x01C7 2424  
0x01C7 2428  
0x01C7 242C  
0x01C7 2430  
0x01C7 2434  
0x01C7 2438  
0x01C7 243C  
0x01C7 2440  
0x01C7 2444  
0x01C7 2448  
0x01C7 244C  
0x01C7 2450  
0x01C7 2454  
0x01C7 2458  
0x01C7 245C  
0x01C7 2460  
0x01C7 2464  
0x01C7 2468  
0x01C7 246C  
0x01C7 2470  
0x01C7 2474  
0x01C7 2478  
0x01C7 247C  
0x01C7 2480  
0x01C7 2484  
0x01C7 2488  
0x01C7 248C  
0x01C7 2490  
0x01C7 2494  
0x01C7 2498  
0x01C7 249C  
0x01C7 24A0  
0x01C7 24A4  
0x01C7 24A8  
0x01C7 24AC  
0x01C7 24B0  
0x01C7 24B4  
0x01C7 24B4  
0x01C7 24B4  
0x01C7 24B4  
0x01C7 24B8  
SYNCCTL  
HSPLS  
Sync Control  
Horizontal Sync Pulse Width  
Vertical Sync Pulse Width  
Horizontal Interval  
VSPLS  
HINT  
HSTART  
HVALID  
VINT  
Horizontal Valid Data Start Position  
Horizontal Data Valid Range  
Vertical Interval  
VSTART  
VVALID  
Vertical Valid Data Start Position  
Vertical Data Valid Range  
Horizontal Sync Delay  
Vertical Sync Delay  
HSDLY  
VSDLY  
YCCTL  
YCbCr Control  
RGBCTL  
RGBCLP  
LINECTL  
CULLLINE  
LCDOUT  
BRTS  
RGB Control  
RGB Level Clipping  
Line ID Control  
Culling Line Control  
LCD Output Signal Control  
Brightness Start Position Signal Control  
Brightness Width Signal Control  
LCD_AC Signal Control  
PWM Start Position Signal Control  
PWM Width Signal Control  
DCLK Control  
BRTW  
ACCTL  
PWMP  
PWMW  
DCLKCTL  
DCLKPTN0  
DCLKPTN1  
DCLKPTN2  
DCLKPTN3  
DCLKPTN0A  
DCLKPTN1A  
DCLKPTN2A  
DCLKPTN3A  
DCLKHS  
DCLKHSA  
DCLKHR  
DCLKVS  
DCLKVR  
CAPCTL  
CAPDO  
DCLK Pattern 0  
DCLK Pattern 1  
DCLK Pattern 2  
DCLK Pattern 3  
DCLK Auxiliary Pattern 0  
DCLK Auxiliary Pattern 1  
DCLK Auxiliary Pattern 2  
DCLK Auxiliary Pattern 3  
Horizontal DCLK Mask Start  
Horizontal Auxiliary DCLK Mask Start  
Horizontal DCLK Mask Range  
Vertical DCLK Mask Start  
Vertical DCLK Mask Range  
Caption Control  
Caption Data Odd Field  
Caption Data Even Field  
Video Attribute Data # 0  
Video Attribute Data # 1  
Video Attribute Data # 2  
CAPDE  
ATR0  
ATR1  
ATR2  
Reserved  
VSTAT  
Video Status  
200  
Peripheral Information and Electrical Specifications  
Submit Documentation Feedback  
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
Table 6-33. VENC (Including Digital LCD and DACs) Register Descriptions (continued)  
0x01C7 24BC  
0x01C7 24C0  
Reserved  
0x01C7 24C4  
0x01C7 24C8  
0x01C7 24CC  
0x01C7 24D0  
0x01C7 24D4  
0x01C7 24D8  
0x01C7 24DC  
0x01C7 24E0  
0x01C7 24E4  
0x01C7 24E8  
0x01C7 24EC  
0x01C7 24F0  
0x01C7 24F4  
0x01C7 24F8  
0x01C7 24FC  
0x01C7 2500  
0x01C7 2504  
0x01C7 2508  
0x01C7 250C  
0x01C7 2510  
0x01C7 2514  
0x01C7 2518  
0x01C7 251C  
0x01C7 2520  
0x01C7 2524  
0x01C7 2528  
0x01C7 252C  
0x01C7 2530  
0x01C7 2534  
0x01C7 2538  
0x01C7 253C  
DACTST  
YCOLVL  
SCPROG  
DAC Test  
YOUT and COUT Levels  
Sub-Carrier Programming  
Reserved  
CVBS  
Composite Mode  
CMPNT  
ETMG0  
ETMG1  
ETMG2  
ETMG3  
DACSEL  
Component Mode  
CVBS Timing Control 0  
CVBS Timing Control 1  
Component Timing Control 0  
Component Timing Control 1  
DAC Output Select  
Reserved  
ARGBX0  
ARGBX1  
ARGBX2  
ARGBX3  
ARGBX4  
DRGBX0  
DRGBX1  
DRGBX2  
DRGBX3  
DRGBX4  
VSTARTA  
OSDCLK0  
OSDCLK1  
HVLDCL0  
HVLDCL1  
OSDHADV  
Analog RGB Matrix 0  
Analog RGB Matrix 1  
Analog RGB Matrix 2  
Analog RGB Matrix 3  
Analog RGB Matrix 4  
Digital RGB Matrix 0  
Digital RGB Matrix 1  
Digital RGB Matrix 2  
Digital RGB Matrix 3  
Digital RGB Matrix 4  
Vertical Data Valid Start Position for Even Field  
OSD Clock Control 0  
OSD Clock Control 1  
Horizontal Valid Culling Control 0  
Horizontal Valid Culling Control 1  
OSD Horizontal Sync Advance  
Submit Documentation Feedback  
Peripheral Information and Electrical Specifications  
201  
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
6.10.3 VPBE Electrical Data/Timing  
Table 6-34. Timing Requirements for VPBE CLK Input (1)(see Figure 6-19)  
-7/-6/-5/-4  
-L/-Q6/-Q5/-Q4  
NO.  
UNIT  
MIN  
13.33  
.4V  
MAX  
1
2
3
4
tc(VPBECLK)  
tw(VPBECLKH)  
tw(VPBECLKL)  
tt(VPBECLK)  
Cycle time, VPBECLK  
ns  
ns  
ns  
ns  
Pulse duration, VPBECLK high  
Pulse duration, VPBECLK low  
Transition time, VPBECLK  
.4V  
7
(1) V = VPBECLK period in ns.  
3
2
1
VPBECLK  
4
4
Figure 6-19. VPBECLK Timing  
202  
Peripheral Information and Electrical Specifications  
Submit Documentation Feedback  
 
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
Table 6-35. Timing Requirements for VPBE Control Input With Respect to VPBECLK (see Figure 6-20)  
-7/-6/-5/-4  
-L/-Q6/-Q5/-Q4  
NO.  
UNIT  
MIN  
3
MAX  
27  
28  
35  
36  
tsu(VCTLV-VPBECLK)  
th(VPBECLK-VCTLV)  
tsu(FIELD-VPBECLK)  
th(VPBECLK-FIELD)  
Setup time, VCTL valid before VPBECLK rising edge  
Hold time, VCTL valid after VPBECLK rising edge  
Setup time, LCD_FIELD valid before VPBECLK rising edge  
Hold time, LCD_FIELD valid after VPBECLK rising edge  
ns  
ns  
ns  
ns  
1
5P(1)  
5P(1)  
(1) P = 1/(VPBECLK clock frequency) in ns.  
VPBECLK  
28  
36  
27  
35  
(A)  
VCTL  
LCD_FIELD  
A. VCTL = HSYNC and VSYNC  
Figure 6-20. VPBE Input Timing With Respect to VPBECLK  
Submit Documentation Feedback  
Peripheral Information and Electrical Specifications  
203  
 
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
Table 6-36. Switching Characteristics Over Recommended Operating Conditions for VPBE Control and  
Data Output With Respect to VPBECLK (see Figure 6-21)  
-7/-6/-5/-4  
-L/-Q6/-Q5/-Q4  
NO.  
PARAMETER  
UNIT  
MIN  
2.5  
MAX  
29  
30  
31  
32  
td(VPBECLK-VCTLV)  
td(VPBECLK-VCTLIV)  
td(VPBECLK-VDATAV)  
td(VPBECLK-VDATAIV)  
Delay time, VPBECLK rising edge to VCTL valid  
Delay time, VPBECLK rising edge to VCTL invalid  
Delay time, VPBECLK rising edge to VDATA valid  
Delay time, VPBECLK rising edge to VDATA invalid  
14  
ns  
ns  
ns  
ns  
14  
2.5  
VPBECLK  
29  
31  
30  
32  
(A)  
VCTL  
(B)  
VDATA  
A. VCTL = HSYNC, VSYNC, LCD_FIELD, and LCD_OE  
B. VDATA = COUT[7:0], YOUT[7:0], R[7:0], G[7:0], and B[7:0]  
Figure 6-21. VPBE Output Timing With Respect to VPBECLK  
204  
Peripheral Information and Electrical Specifications  
Submit Documentation Feedback  
 
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
Table 6-37. Switching Characteristics Over Recommended Operating Conditions for VPBE Control and  
Data Output With Respect to VCLK(1)(2)(3) (see Figure 6-22)  
-7/-6/-5/-4  
-L/-Q6/-Q5/-Q4  
NO.  
PARAMETER  
UNIT  
MIN  
13.33  
0.4C  
0.4C  
MAX  
17  
18  
19  
20  
21  
22  
23  
24  
25  
26  
tc(VCLK)  
Cycle time, VCLK  
160  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
tw(VCLKH)  
Pulse duration, VCLK high  
tw(VCLKL)  
Pulse duration, VCLK low  
tt(VCLK)  
Transition time, VCLK  
7
9
9
9
td(VCLKINH-VCLKH)  
td(VCLKINL-VCLKL)  
td(VCLK-VCTLV)  
td(VCLK-VCTLIV)  
td(VCLK-VDATAV)  
td(VCLK-VDATAIV)  
Delay time, VCLKIN high to VCLK high  
Delay time, VCLKIN low to VCLK low  
Delay time, VCLK edge to VCTL valid  
Delay time, VCLK edge to VCTL invalid  
Delay time, VCLK edge to VDATA valid  
Delay time, VCLK edge to VDATA invalid  
1
1
0.6  
0.6  
9
(1) The VPBE may be configured to operate in either positive or negative edge clocking mode. When in positive edge clocking mode, the  
rising edge of VCLK is referenced. When in negative edge clocking mode, the falling edge of VCLK is referenced.  
(2) VCLKIN = VPBECLK  
(3) C = VCLK period in ns.  
(A)  
VCLKIN  
18  
21  
17  
22  
19  
VCLK  
(Positive Edge  
Clocking)  
VCLK  
(Negative Edge  
Clocking)  
20  
23  
25  
20  
24  
26  
(B)  
VCTL  
(C)  
VDATA  
A. VCLKIN = VPBECLK  
B. VCTL = HSYNC, VSYNC, LCD_FIELD, and LCD_OE  
C. VDATA = COUT[7:0], YOUT[7:0], R[7:0], G[7:0], and B[7:0]  
Figure 6-22. VPBE Control and Data Output Timing With Respect to VCLK  
Submit Documentation Feedback  
Peripheral Information and Electrical Specifications  
205  
 
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
6.10.3.1 DAC Electrical Data/Timing  
Table 6-38. Switching Characteristics Over Recommended Operating Conditions for DAC Static  
Specifications  
-7/-6/-5/-4  
-L/-Q6/-Q5/-Q4  
NO.  
PARAMETER  
TEST CONDITIONS  
UNIT  
MIN  
TYP  
MAX  
DC Accuracy  
Integral Non-Linearity (INL)  
Differential Non-Linearity (DNL)  
-1.0  
-0.5  
1.0  
0.5  
LSB  
LSB  
Analog Output  
Offset Error  
Gain Error  
0.5  
5
500  
LSB  
%FS  
mVPP  
Full-Scale Output Voltage  
RLOAD = 500 Ω  
Output Capacitance  
200  
pF  
Reference  
Reference Voltage Range (VREF  
Full-Scale Current Adjust Resistor (RBIAS)  
0.475  
3.3  
0.5  
4.0  
0.525  
4.4  
V
kΩ  
)
Table 6-39. Switching Characteristics Over Recommended Operating Conditions for DAC Dynamic  
Specifications  
-7/-6/-5/-4  
-L/-Q6/-Q5/-Q4  
NO.  
PARAMETER  
TEST CONDITIONS  
UNIT  
MIN  
TYP  
27  
6
MAX  
Output Update Rate (FCLK  
)
60  
MHz  
MHz  
Signal Bandwidth  
SFDR to Nyquist  
FCLK = 27 MHz  
FOUT = 2.0 MHz  
FCLK = 60 MHz  
FOUT = 2.0 MHz  
FCLK = 27 MHz  
FOUT = 2.0 MHz  
FCLK = 60 MHz  
FOUT = 2.0 MHz  
60  
60  
60  
60  
dB  
dB  
db  
SFDR within Bandwidth  
dB  
dB  
PSRR Over Temp vs Power Supply  
50  
206  
Peripheral Information and Electrical Specifications  
Submit Documentation Feedback  
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
The DM6433's analog video DAC outputs are designed to drive a 500-Ω load. Figure 6-23 describes a  
typical circuit that will permit connecting the analog video output from the DM6433 device to standard  
75-Ω impedance video systems. Another solution is to use a Video Amplifier, such as the Texas  
Instruments' OPA361, which provides a complete solution to the typical output circuit shown in  
Figure 6-23.  
Low-Pass Filter  
75 Ω  
IOUT  
Amplifier  
Gain = 5.6 V/V  
fc = 6.5 MHz  
DAC  
~RLOAD = 500 Ω  
75 Ω  
Figure 6-23. Typical Output Circuit for NTSC/PAL Video From DACs  
Submit Documentation Feedback  
Peripheral Information and Electrical Specifications  
207  
 
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
6.11 Universal Asynchronous Receiver/Transmitter (UART)  
The DM6433 device has one UART peripheral (UART0). UART0 has the following features:  
16-byte storage space for both the transmitter and receiver FIFOs  
1, 4, 8, or 14 byte selectable receiver FIFO trigger level for autoflow control and DMA  
DMA signaling capability for both received and transmitted data  
Programmable auto-rts and auto-cts for autoflow control  
Frequency pre-scale values from 1 to 65,535 to generate appropriate baud rates  
Prioritized interrupts  
Programmable serial data formats  
5, 6, 7, or 8-bit characters  
Even, odd, or no parity bit generation and detection  
1, 1.5, or 2 stop bit generation  
False start bit detection  
Line break generation and detection  
Internal diagnostic capabilities  
Loopback controls for communications link fault isolation  
Break, parity, overrun, and framing error simulation  
Modem control functions (CTS, RTS)  
The UART0 registers are listed in Table 6-40.  
6.11.1 UART Peripheral Register Description(s)  
Table 6-40. UART0 Register Descriptions  
HEX ADDRESS RANGE  
0x01C2 0000  
ACRONYM  
REGISTER NAME  
RBR  
UART0 Receiver Buffer Register (Read Only)  
UART0 Transmitter Holding Register (Write Only)  
UART0 Interrupt Enable Register  
UART0 Interrupt Identification Register (Read Only)  
UART0 FIFO Control Register (Write Only)  
UART0 Line Control Register  
UART0 Modem Control Register  
UART0 Line Status Register  
0x01C2 0000  
THR  
0x01C2 0004  
IER  
0x01C2 0008  
IIR  
0x01C2 0008  
FCR  
0x01C2 000C  
0x01C2 0010  
LCR  
MCR  
0x01C2 0014  
LSR  
0x01C2 0018  
-
Reserved  
0x01C2 001C  
0x01C2 0020  
-
Reserved  
DLL  
UART0 Divisor Latch (LSB)  
0x01C2 0024  
DLH  
UART0 Divisor Latch (MSB)  
0x01C2 0028  
PID1  
Peripheral Identification Register 1  
Peripheral Identification Register 2  
UART0 Power and Emulation Management Register  
Reserved  
0x01C2 002C  
0x01C2 0030  
PID2  
PWREMU_MGMT  
-
0x01C2 0034 - 0x01C2 03FF  
208  
Peripheral Information and Electrical Specifications  
Submit Documentation Feedback  
 
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
6.11.2 UART Electrical Data/Timing  
Table 6-41. Timing Requirements for UARTx Receive(1) (see Figure 6-24)  
-7/-6/-5/-4  
-L/-Q6/-Q5/-Q4  
NO.  
UNIT  
MIN  
0.96U  
0.96U  
MAX  
4
5
tw(URXDB)  
tw(URXSB)  
Pulse duration, receive data bit (URXDx) [15/30/100 pF]  
Pulse duration, receive start bit [15/30/100 pF]  
1.05U  
1.05U  
ns  
ns  
(1) U = UART baud time = 1/programmed baud rate.  
Table 6-42. Switching Characteristics Over Recommended Operating Conditions for UARTx Transmit(1)  
(see Figure 6-24)  
-7/-6/-5/-4  
-L/-Q6/-Q5/-Q4  
NO.  
PARAMETER  
UNIT  
MIN MAX  
1
2
3
f(baud)  
Maximum programmable baud rate  
128  
U + 2  
U + 2  
kHz  
ns  
tw(UTXDB)  
tw(UTXSB)  
Pulse duration, transmit data bit (UTXDx) [15/30/100 pF]  
Pulse duration, transmit start bit [15/30/100 pF]  
U - 2  
U - 2  
ns  
(1) U = UART baud time = 1/programmed baud rate.  
3
2
Start  
Bit  
UTXDx  
Data Bits  
5
4
Start  
Bit  
URXDx  
Data Bits  
Figure 6-24. UART Transmit/Receive Timing  
Submit Documentation Feedback  
Peripheral Information and Electrical Specifications  
209  
 
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
6.12 Inter-Integrated Circuit (I2C)  
The inter-integrated circuit (I2C) module provides an interface between DM6433 and other devices  
compliant with Philips Semiconductors Inter-IC bus (I2C-bus™) specification version 2.1. External  
components attached to this 2-wire serial bus can transmit/receive up to 8-bit data to/from the DSP  
through the I2C module. The I2C port does not support CBUS compatible devices.  
The I2C port supports:  
Compatible with Philips I2C Specification Revision 2.1 (January 2000)  
Fast Mode up to 400 Kbps (no fail-safe I/O buffers)  
Noise Filter to Remove Noise 50 ns or less  
Seven- and Ten-Bit Device Addressing Modes  
Master (Transmit/Receive) and Slave (Transmit/Receive) Functionality  
Events: DMA, Interrupt, or Polling  
Slew-Rate Limited Open-Drain Output Buffers  
I2C Module  
Clock  
Prescale  
Peripheral Clock  
(DSP/18)  
ICPSC  
Control  
Bit Clock  
Own  
ICOAR  
ICSAR  
ICMDR  
ICCNT  
Generator  
Address  
SCL  
Noise  
Filter  
I2C Clock  
Slave  
ICCLKH  
ICCLKL  
Address  
Mode  
Data  
Count  
Transmit  
ICXSR  
Transmit  
Shift  
Extended  
Mode  
ICEMDR  
Transmit  
Buffer  
ICDXR  
SDA  
Interrupt/DMA  
ICIMR  
Noise  
Filter  
I2C Data  
Interrupt  
Receive  
ICDRR  
Mask/Status  
Receive  
Buffer  
Interrupt  
Status  
ICSTR  
ICIVR  
Interrupt  
Vector  
Receive  
Shift  
ICRSR  
Shading denotes control/status registers.  
Figure 6-25. I2C Module Block Diagram  
For more detailed information on the I2C peripheral, see Section 2.9, Documentation Support section of  
this document for the TMS320DM643x DMP Peripherals Overview Reference Guide (literature number  
SPRU983).  
210  
Peripheral Information and Electrical Specifications  
Submit Documentation Feedback  
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
6.12.1 I2C Peripheral Register Description(s)  
Table 6-43. I2C Registers  
HEX ADDRESS RANGE  
0x1C2 1000  
0x1C2 1004  
0x1C2 1008  
0x1C2 100C  
0x1C2 1010  
0x1C2 1014  
0x1C2 1018  
0x1C2 101C  
0x1C2 1020  
0x1C2 1024  
0x1C2 1028  
0x1C2 102C  
0x1C2 1030  
0x1C2 1034  
0x1C2 1038  
ACRONYM  
ICOAR  
ICIMR  
REGISTER NAME  
I2C Own Address Register  
I2C Interrupt Mask Register  
I2C Interrupt Status Register  
I2C Clock Divider Low Register  
I2C Clock Divider High Register  
I2C Data Count Register  
I2C Data Receive Register  
I2C Slave Address Register  
I2C Data Transmit Register  
I2C Mode Register  
ICSTR  
ICCLKL  
ICCLKH  
ICCNT  
ICDRR  
ICSAR  
ICDXR  
ICMDR  
ICIVR  
I2C Interrupt Vector Register  
I2C Extended Mode Register  
I2C Prescaler Register  
ICEMDR  
ICPSC  
ICPID1  
ICPID2  
I2C Peripheral Identification Register 1  
I2C Peripheral Identification Register 2  
Submit Documentation Feedback  
Peripheral Information and Electrical Specifications  
211  
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
6.12.2 I2C Electrical Data/Timing  
6.12.2.1 Inter-Integrated Circuits (I2C) Timing  
Table 6-44. Timing Requirements for I2C Timings(1) (see Figure 6-26)  
-7/-6/-5/-4  
-L/-Q6/-Q5/-Q4  
NO.  
STANDARD  
MODE  
UNIT  
FAST MODE  
MIN MAX  
MIN  
MAX  
1
2
tc(SCL)  
Cycle time, SCL  
10  
2.5  
µs  
µs  
Setup time, SCL high before SDA low (for a repeated START  
condition)  
tsu(SCLH-SDAL)  
4.7  
0.6  
0.6  
Hold time, SCL low after SDA low (for a START and a repeated  
START condition)  
3
th(SCLL-SDAL)  
4
µs  
4
5
6
7
tw(SCLL)  
Pulse duration, SCL low  
4.7  
4
1.3  
0.6  
100(2)  
µs  
µs  
ns  
tw(SCLH)  
Pulse duration, SCL high  
tsu(SDAV-SCLH)  
th(SDA-SCLL)  
Setup time, SDA valid before SCL high  
Hold time, SDA valid after SCL low  
250  
0(3)  
0(3) 0.9(4)  
µs  
Pulse duration, SDA high between STOP and START  
conditions  
8
tw(SDAH)  
4.7  
1.3  
µs  
(5)  
9
tr(SDA)  
Rise time, SDA  
1000 20 + 0.1Cb  
300  
300  
300  
300  
ns  
ns  
ns  
ns  
µs  
ns  
pF  
(5)  
(5)  
(5)  
10  
11  
12  
13  
14  
15  
tr(SCL)  
Rise time, SCL  
1000 20 + 0.1Cb  
300 20 + 0.1Cb  
300 20 + 0.1Cb  
tf(SDA)  
Fall time, SDA  
tf(SCL)  
Fall time, SCL  
tsu(SCLH-SDAH)  
tw(SP)  
Setup time, SCL high before SDA high (for STOP condition)  
Pulse duration, spike (must be suppressed)  
Capacitive load for each bus line  
4
0.6  
0
50  
(5)  
Cb  
400  
400  
(1) The I2C pins SDA and SCL do not feature fail-safe I/O buffers. These pins could potentially draw current when the device is powered  
down.  
(2) A Fast-mode I2C-bus™ device can be used in a Standard-mode I2C-bus system, but the requirement tsu(SDA-SCLH)250 ns must then be  
met. This will automatically be the case if the device does not stretch the LOW period of the SCL signal. If such a device does stretch  
the LOW period of the SCL signal, it must output the next data bit to the SDA line tr max + tsu(SDA-SCLH)= 1000 + 250 = 1250 ns  
(according to the Standard-mode I2C-Bus Specification) before the SCL line is released.  
(3) A device must internally provide a hold time of at least 300 ns for the SDA signal (referred to the VIHmin of the SCL signal) to bridge the  
undefined region of the falling edge of SCL.  
(4) The maximum th(SDA-SCLL) has only to be met if the device does not stretch the low period [tw(SCLL)] of the SCL signal.  
(5) Cb = total capacitance of one bus line in pF. If mixed with HS-mode devices, faster fall-times are allowed.  
11  
9
SDA  
6
8
14  
4
13  
5
10  
SCL  
1
12  
3
2
7
3
Stop  
Start  
Repeated  
Start  
Stop  
Figure 6-26. I2C Receive Timings  
212  
Peripheral Information and Electrical Specifications  
Submit Documentation Feedback  
 
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
Table 6-45. Switching Characteristics for I2C Timings(1) (see Figure 6-27)  
-7/-6/-5/-4  
-L/-Q6/-Q5/-Q4  
NO.  
PARAMETER  
STANDARD  
MODE  
UNIT  
FAST MODE  
MIN MAX  
MIN  
MAX  
16  
17  
tc(SCL)  
Cycle time, SCL  
10  
2.5  
µs  
µs  
Delay time, SCL high to SDA low (for a repeated START  
condition)  
td(SCLH-SDAL)  
4.7  
0.6  
0.6  
Delay time, SDA low to SCL low (for a START and a repeated  
START condition)  
18  
td(SDAL-SCLL)  
4
µs  
19  
20  
21  
22  
tw(SCLL)  
Pulse duration, SCL low  
4.7  
4
1.3  
0.6  
100  
0
µs  
µs  
ns  
µs  
tw(SCLH)  
Pulse duration, SCL high  
td(SDAV-SCLH)  
tv(SCLL-SDAV)  
Delay time, SDA valid to SCL high  
Valid time, SDA valid after SCL low  
250  
0
0.9  
Pulse duration, SDA high between STOP and START  
conditions  
23  
tw(SDAH)  
4.7  
1.3  
µs  
(1)  
24  
25  
26  
27  
28  
29  
tr(SDA)  
tr(SCL)  
Rise time, SDA  
1000 20 + 0.1Cb  
300  
300  
300  
300  
ns  
ns  
ns  
ns  
µs  
pF  
(1)  
(1)  
(1)  
Rise time, SCL  
1000 20 + 0.1Cb  
300 20 + 0.1Cb  
300 20 + 0.1Cb  
tf(SDA)  
Fall time, SDA  
tf(SCL)  
Fall time, SCL  
td(SCLH-SDAH)  
Cp  
Delay time, SCL high to SDA high (for STOP condition)  
Capacitance for each I2C pin  
4
0.6  
10  
10  
(1) Cb = total capacitance of one bus line in pF. If mixed with HS-mode devices, faster fall-times are allowed.  
26  
24  
SDA  
21  
23  
19  
28  
20  
25  
SCL  
16  
27  
18  
17  
22  
18  
Stop  
Start  
Repeated  
Start  
Stop  
Figure 6-27. I2C Transmit Timings  
Submit Documentation Feedback  
Peripheral Information and Electrical Specifications  
213  
 
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
6.13 Host-Port Interface (HPI) Peripheral  
6.13.1 HPI Device-Specific Information  
The DM6433 device includes a user-configurable 16-bit Host-port interface (HPI16).  
Software handshaking via the HRDY bit of the Host Port Control Register (HPIC) is not supported on the  
DM6433.  
The DM6433 HPI does not support the HAS feature. For proper device operation, the HAS pin must be  
pulled up via an external resistor.  
6.13.2 HPI Peripheral Register Description(s)  
Table 6-46. HPI Control Registers  
HEX ADDRESS RANGE  
ACRONYM  
REGISTER NAME  
COMMENTS  
01C6 7800  
PID  
Peripheral Identification Register  
The CPU has read/write  
access to the  
01C6 7804  
PWREMU_MGMT  
HPI power and emulation management register  
PWREMU_MGMT register.  
01C6 7808 - 01C6 7824  
01C6 7828  
-
-
-
Reserved  
Reserved  
Reserved  
01C6 782C  
The Host and the CPU both  
have read/write access to the  
HPIC register.  
01C6 7830  
01C6 7834  
HPIC  
HPI control register  
HPIA  
HPI address register  
(Write)  
The Host has read/write  
access to the HPIA registers.  
The CPU has only read  
(HPIAW)(1)  
HPIA  
HPI address register  
(Read)  
01C6 7838  
(HPIAR)(1)  
access to the HPIA registers.  
01C6 780C - 01C6 7FFF  
-
Reserved  
(1) There are two 32-bit HPIA registers: HPIAR for read operations and HPIAW for write operations. The HPI can be configured such that  
HPIAR and HPIAW act as a single 32-bit HPIA (single-HPIA mode) or as two separate 32-bit HPIAs (dual-HPIA mode) from the  
perspective of the Host. The CPU can access HPIAW and HPIAR independently. For more details about the HPIA registers and their  
modes, see the TMS320DM643x DMP Host Port Interface (HPI) User's Guide (literature number SPRU998).  
214  
Peripheral Information and Electrical Specifications  
Submit Documentation Feedback  
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
6.13.3 HPI Electrical Data/Timing  
Table 6-47. Timing Requirements for Host-Port Interface Cycles(1)(2) (see Figure 6-28 and Figure 6-29)  
-7/-6/-5/-4  
-L/-Q6/-Q5/-Q4  
NO.  
UNIT  
MIN  
5
MAX  
1
2
tsu(SELV-HSTBL)  
th(HSTBL-SELV)  
tw(HSTBL)  
Setup time, select signals(3) valid before HSTROBE low  
Hold time, select signals(3) valid after HSTROBE low  
Pulse duration, HSTROBE active low  
ns  
ns  
ns  
ns  
ns  
ns  
2
3
15  
2M  
5
4
tw(HSTBH)  
Pulse duration, HSTROBE inactive high between consecutive accesses  
Setup time, host data valid before HSTROBE high  
Hold time, host data valid after HSTROBE high  
11  
12  
tsu(HDV-HSTBH)  
th(HSTBH-HDV)  
0
Hold time, HSTROBE high after HRDY low. HSTROBE should not be  
inactivated until HRDY is active (low); otherwise, HPI writes will not  
complete properly.  
13  
th(HRDYL-HSTBL)  
0
ns  
(1) HSTROBE refers to the following logical operation on HCS, HDS1, and HDS2: [NOT(HDS1 XOR HDS2)] OR HCS.  
(2) M = SYSCLK3 period = (CPU clock frequency)/6 in ns. For example, when running parts at 600 MHz, use M = 10 ns.  
(3) Select signals include: HCNTL[1:0], HR/W and HHWIL.  
Submit Documentation Feedback  
Peripheral Information and Electrical Specifications  
215  
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
Table 6-48. Switching Characteristics for Host-Port Interface Cycles(1)(2)(3)  
(see Figure 6-28 and Figure 6-29)  
-7/-6/-5/-4  
-L/-Q6/-Q5/-Q4  
NO.  
PARAMETER  
UNIT  
MIN  
MAX  
For HPI Write, HRDY can go high (not  
ready) for these HPI Write conditions;  
otherwise, HRDY stays low (ready):  
Case 1: Back-to-back HPIA writes (can  
be either first or second half-word)  
Case 2: HPIA write following a  
PREFETCH command (can be either  
first or second half-word)  
Case 3: HPID write when FIFO is full  
or flushing (can be either first or  
second half-word)  
Case 4: HPIA write and Write FIFO not  
empty  
For HPI Read, HRDY can go high (not  
ready) for these HPI Read conditions:  
Case 1: HPID read (with  
Delay time, HSTROBE low to  
HRDY valid  
5
td(HSTBL-HRDYV)  
12  
ns  
auto-increment) and data not in Read  
FIFO (can only happen to first  
half-word of HPID access)  
Case 2: First half-word access of HPID  
Read without auto-increment  
For HPI Read, HRDY stays low (ready)  
for these HPI Read conditions:  
Case 1: HPID read with auto-increment  
and data is already in Read FIFO  
(applies to either half-word of HPID  
access)  
Case 2: HPID read without  
auto-increment and data is already in  
Read FIFO (always applies to second  
half-word of HPID access)  
Case 3: HPIC or HPIA read (applies to  
either half-word access)  
6
7
ten(HSTBL-HD)  
td(HRDYL-HDV)  
toh(HSTBH-HDV)  
tdis(HSTBH-HDV)  
Enable time, HD driven from HSTROBE low  
Delay time, HRDY low to HD valid  
2
ns  
ns  
ns  
ns  
0
8
Output hold time, HD valid after HSTROBE high  
Disable time, HD high-impedance from HSTROBE high  
1.5  
14  
12  
For HPI Read. Applies to conditions  
where data is already residing in  
HPID/FIFO:  
Case 1: HPIC or HPIA read  
Case 2: First half-word of HPID read  
with auto-increment and data is  
already in Read FIFO  
Delay time, HSTROBE low to  
HD valid  
15  
td(HSTBL-HDV)  
15  
ns  
Case 3: Second half-word of HPID  
read with or without auto-increment  
For HPI Write, HRDY can go high (not  
ready) for these HPI Write conditions;  
otherwise, HRDY stays low (ready):  
Case 1: HPID write when Write FIFO is  
Delay time, HSTROBE high to full (can happen to either half-word)  
18  
td(HSTBH-HRDYV)  
12  
ns  
HRDY valid  
Case 2: HPIA write (can happen to  
either half-word)  
Case 3: HPID write without  
auto-increment (only happens to  
second half-word)  
(1) M = SYSCLK3 period = (CPU clock frequency)/6 in ns. For example, when running parts at 600 MHz, use M = 10 ns.  
(2) HSTROBE refers to the following logical operation on HCS, HDS1, and HDS2: [NOT(HDS1 XOR HDS2)] OR HCS.  
(3) By design, whenever HCS is driven inactive (high), HPI will drive HRDY active (low).  
216  
Peripheral Information and Electrical Specifications  
Submit Documentation Feedback  
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
HCS  
(D)  
HAS  
2
2
1
1
1
1
1
1
HCNTL[1:0]  
HR/W  
2
2
2
2
HHWIL  
(A)(C)  
4
3
3
HSTROBE  
15  
15  
14  
14  
8
6
8
6
HD[15:0]  
(output)  
13  
7
1st Half-Word  
2nd Half-Word  
5
(B)  
HRDY  
A. HSTROBE refers to the following logical operation on HCS, HDS1, and HDS2: [NOT(HDS1 XOR HDS2)] OR HCS.  
B. Depending on the type of write or read operation (HPID without auto-incrementing; HPIA, HPIC, or HPID with  
auto-incrementing)and the state of the FIFO, transitions on HRDY may or may not occur.  
For more detailed information on the HPI peripheral, see the TMS320DM643x Host Port Interface (HPI) User’s Guide  
(literaturenumber SPRU998).  
C. HCS reflects typical HCS behavior when HSTROBE assertion is caused by HDS1 or HDS2. HCS timing requirements are  
reflected by parameters for HSTROBE.  
D
For proper HPI operation, HAS must be pulled up via an external resistor.  
Figure 6-28. HPI16 Read Timing (HAS Not Used, Tied High)  
Submit Documentation Feedback  
Peripheral Information and Electrical Specifications  
217  
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
HCS  
(D)  
HAS  
1
1
1
1
2
2
2
HCNTL[1:0]  
1
2
HR/W  
1
2
2
3
HHWIL  
3
4
(A)(C)  
HSTROBE  
11  
11  
12  
12  
2nd Half-Word  
18  
HD[15:0]  
(input)  
1st Half-Word  
18  
5
13  
13  
5
(B)  
HRDY  
A. HSTROBE refers to the following logical operation on HCS, HDS1, and HDS2: [NOT(HDS1 XOR HDS2)] OR HCS.  
B. Dependingon the type of write or read operation (HPID without auto-incrementing; HPIA, HPIC, or HPID with auto-incrementing) and the  
state of the FIFO, transitions on HRDY may or may not occur.  
For more detailed information on the HPI peripheral, see the TMS320DM643x Host Port Interface (HPI) User’s Guide (literature number  
SPRU998).  
C. HCS reflects typical HCS behavior when HSTROBE assertion is caused by HDS1 or HDS2. HCS timing requirements are reflected by  
parameters for HSTROBE.  
D
For proper HPI operation, HAS must be pulled up via an external resistor.  
Figure 6-29. HPI16 Write Timing (HAS Not Used, Tied High)  
218  
Peripheral Information and Electrical Specifications  
Submit Documentation Feedback  
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
6.14 Multichannel Buffered Serial Port (McBSP)  
The McBSP provides these functions:  
Full-duplex communication  
Double-buffered data registers, which allow a continuous data stream  
Independent framing and clocking for receive and transmit  
Direct interface to industry-standard codecs, analog interface chips (AICs), and other serially  
connected analog-to-digital (A/D) and digital-to-analog (D/A) devices  
External shift clock or an internal, programmable frequency shift clock for data transfer  
If internal clock source is used, the CLKGDV field of the Sample Rate Generator Register (SRGR) must  
always be set to a value of 1 or greater.  
For more detailed information on the McBSP peripheral, see the TMS320DM643x DMP Multichannel  
Buffered Serial Port (McBSP) User's Guide (literature number SPRU943).  
6.14.1 McBSP Peripheral Register Description(s)  
Table 6-49. McBSP 0 Registers  
HEX ADDRESS RANGE  
ACRONYM  
REGISTER NAME  
COMMENTS  
The CPU and EDMA3  
controller can only read  
this register; they cannot  
write to it.  
01D0 0000  
DRR0  
McBSP0 Data Receive Register  
01D0 0004  
01D0 0008  
01D0 000C  
01D0 0010  
01D0 0014  
01D0 0018  
DXR0  
SPCR0  
RCR0  
McBSP0 Data Transmit Register  
McBSP0 Serial Port Control Register  
McBSP0 Receive Control Register  
McBSP0 Transmit Control Register  
McBSP0 Sample Rate Generator register  
McBSP0 Multichannel Control Register  
XCR0  
SRGR0  
MCR0  
McBSP0 Enhanced Receive Channel Enable Register  
0 Partition A/B  
01D0 001C  
RCERE00  
McBSP0 Enhanced Transmit Channel Enable Register  
0 Partition A/B  
01D0 0020  
01D0 0024  
01D0 0028  
XCERE00  
PCR0  
McBSP0 Pin Control Register  
McBSP0 Enhanced Receive Channel Enable Register  
1 Partition C/D  
RCERE10  
McBSP0 Enhanced Transmit Channel Enable Register  
1 Partition C/D  
01D0 002C  
01D0 0030  
01D0 0034  
01D0 0038  
XCERE10  
RCERE20  
XCERE20  
RCERE30  
McBSP0 Enhanced Receive Channel Enable Register  
2 Partition E/F  
McBSP0 Enhanced Transmit Channel Enable Register  
2 Partition E/F  
McBSP0 Enhanced Receive Channel Enable Register  
3 Partition G/H  
McBSP0 Enhanced Transmit Channel Enable Register  
3 Partition G/H  
01D0003C  
XCERE30  
-
01D0 0040 - 01D0 07FF  
Reserved  
Submit Documentation Feedback  
Peripheral Information and Electrical Specifications  
219  
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
6.14.2 McBSP Electrical Data/Timing  
6.14.2.1 Multichannel Buffered Serial Port (McBSP) Timing  
Table 6-50. Timing Requirements for McBSP(1) (see Figure 6-30)  
-7/-6/-5/-4  
-L/-Q6/-Q5/-Q4  
NO.  
UNIT  
MIN  
MAX  
2
3
tc(CKRX)  
tw(CKRX)  
Cycle time, CLKR/X  
CLKR/X ext  
CLKR/X ext  
CLKR int  
CLKR ext  
CLKR int  
CLKR ext  
CLKR int  
CLKR ext  
CLKR int  
CLKR ext  
CLKX int  
CLKX ext  
CLKX int  
CLKX ext  
2P(2)(3)  
P - 1(4)  
ns  
ns  
Pulse duration, CLKR/X high or CLKR/X low  
14  
4
5
6
tsu(FRH-CKRL)  
th(CKRL-FRH)  
tsu(DRV-CKRL)  
th(CKRL-DRV)  
tsu(FXH-CKXL)  
th(CKXL-FXH)  
Setup time, external FSR high before CLKR low  
Hold time, external FSR high after CLKR low  
Setup time, DR valid before CLKR low  
ns  
ns  
ns  
ns  
ns  
ns  
6
4
14  
4
7
3
8
Hold time, DR valid after CLKR low  
3.5  
14  
4
10  
11  
Setup time, external FSX high before CLKX low  
Hold time, external FSX high after CLKX low  
6
3
(1) CLKRP = CLKXP = FSRP = FSXP = 0. If polarity of any of the signals is inverted, then the timing references of that signal are also  
inverted.  
(2) P = SYSCLK3 period in ns. For example, when running parts at 600 MHz, use P = 10 ns.  
(3) Use whichever value is greater. Minimum CLKR/X cycle times must be met, even when CLKR/X is generated by an internal clock  
source. The minimum CLKR/X cycle times are based on internal logic speed; the maximum usable speed may be lower due to EDMA  
limitations and AC timing requirements.  
(4) This parameter applies to the maximum McBSP frequency. Operate serial clocks (CLKR/X) in the reasonable range of 40/60 duty cycle.  
220  
Peripheral Information and Electrical Specifications  
Submit Documentation Feedback  
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
Table 6-51. Switching Characteristics Over Recommended Operating Conditions for McBSP(1)(2)  
(see Figure 6-30)  
-7/-6/-5/-4  
-L/-Q6/-Q5/-Q4  
NO.  
PARAMETER  
UNIT  
MIN  
MAX  
Delay time, CLKS high to CLKR/X high for internal CLKR/X  
generated from CLKS input  
1
td(CKSH-CKRXH)  
3
10  
ns  
2
3
4
tc(CKRX)  
Cycle time, CLKR/X  
CLKR/X int  
CLKR/X int  
CLKR int  
CLKX int  
CLKX ext  
CLKX int  
CLKX ext  
CLKX int  
CLKX ext  
FSX int  
2P(3)(4)(5)  
C - 2(6)  
-4  
ns  
ns  
ns  
tw(CKRX)  
Pulse duration, CLKR/X high or CLKR/X low  
Delay time, CLKR high to internal FSR valid  
C + 2(6)  
5.5  
td(CKRH-FRV)  
-4  
5.5  
9
td(CKXH-FXV)  
tdis(CKXH-DXHZ)  
td(CKXH-DXV)  
Delay time, CLKX high to internal FSX valid  
ns  
ns  
ns  
2.5  
14.5  
-5.5  
7.5  
Disable time, DX high impedance following  
last data bit from CLKX high  
12  
13  
-2.1  
-4 + D1(7)  
2.5 + D1(7) 14.5 + D2(7)  
16  
5.5 + D2(7)  
Delay time, CLKX high to DX valid  
Delay time, FSX high to DX valid  
-4(8)  
5(8)  
14  
td(FXH-DXV)  
ns  
ONLY applies when in data  
delay 0 (XDATDLY = 00b) mode  
FSX ext  
1(8)  
14.5(8)  
(1) CLKRP = CLKXP = FSRP = FSXP = 0. If polarity of any of the signals is inverted, then the timing references of that signal are also  
inverted.  
(2) Minimum delay times also represent minimum output hold times.  
(3) Minimum CLKR/X cycle times must be met, even when CLKR/X is generated by an internal clock source. Minimum CLKR/X cycle times  
are based on internal logic speed; the maximum usable speed may be lower due to EDMA limitations and AC timing requirements.  
(4) P = SYSCLK3 period in ns. For example, when running parts at 600 MHz, use P = 10 ns.  
(5) Use whichever value is greater.  
(6) C = H or L  
S = sample rate generator input clock = P if CLKSM = 1 (P = SYSCLK3 period)  
S = sample rate generator input clock = P_clks if CLKSM = 0 (P_clks = CLKS period)  
H = CLKX high pulse width = (CLKGDV/2 + 1) * S if CLKGDV is even  
H = (CLKGDV + 1)/2 * S if CLKGDV is odd  
L = CLKX low pulse width = (CLKGDV/2) * S if CLKGDV is even  
L = (CLKGDV + 1)/2 * S if CLKGDV is odd  
CLKGDV should be set appropriately to ensure the McBSP bit rate does not exceed the maximum limit (see (4) above).  
(7) Extra delay from CLKX high to DX valid applies only to the first data bit of a device, if and only if DXENA = 1 in SPCR.  
if DXENA = 0, then D1 = D2 = 0  
if DXENA = 1, then D1 = 6P, D2 = 12P  
(8) Extra delay from FSX high to DX valid applies only to the first data bit of a device, if and only if DXENA = 1 in SPCR.  
if DXENA = 0, then D1 = D2 = 0  
if DXENA = 1, then D1 = 6P, D2 = 12P  
Submit Documentation Feedback  
Peripheral Information and Electrical Specifications  
221  
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
CLKS  
1
2
3
3
CLKR  
4
4
FSR (int)  
FSR (ext)  
DR  
5
6
7
8
Bit(n-1)  
(n-2)  
(n-3)  
2
3
3
CLKX  
9
FSX (int)  
11  
10  
FSX (ext)  
FSX (XDATDLY=00b)  
(A)  
13  
14  
13  
(A)  
12  
DX  
Bit 0  
Bit(n-1)  
(n-2)  
(n-3)  
A. Parameter No. 13 applies to the first data bit only when XDATDLY 0.  
Figure 6-30. McBSP Timing(B)  
Table 6-52. Timing Requirements for FSR When GSYNC = 1 (see Figure 6-31)  
-7/-6/-5/-4  
-L/-Q6/-Q5/-Q4  
NO.  
UNIT  
MIN  
4
MAX  
1
2
tsu(FRH-CKSH)  
th(CKSH-FRH)  
Setup time, FSR high before CLKS high  
Hold time, FSR high after CLKS high  
ns  
ns  
4
CLKS  
1
2
FSR external  
CLKR/X (no need to resync)  
CLKR/X (needs resync)  
Figure 6-31. FSR Timing When GSYNC = 1  
222  
Peripheral Information and Electrical Specifications  
Submit Documentation Feedback  
 
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
Table 6-53. Timing Requirements for McBSP as SPI Master or Slave: CLKSTP = 10b, CLKXP = 0(1)(2)  
(see Figure 6-32)  
-7/-6/-5/-4  
-L/-Q6/-Q5/-Q4  
NO.  
UNIT  
MASTER  
SLAVE  
MIN  
MIN  
14  
4
MAX  
MAX  
4
5
tsu(DRV-CKXL)  
th(CKXL-DRV)  
Setup time, DR valid before CLKX low  
Hold time, DR valid after CLKX low  
2 - 3P  
5 + 6P  
ns  
ns  
(1) P = SYSCLK3 period in ns. For example, when running parts at 600 MHz, use P = 10 ns.  
(2) For all SPI Slave modes, the rate of the internal clock CLKG must be at least 8 times faster than that of the SPI data rate. User should  
program sample rate generator to achieve maximum CLKG by setting CLKSM = CLKGDV = 1.  
Table 6-54. Switching Characteristics Over Recommended Operating Conditions for McBSP as SPI  
Master or Slave: CLKSTP = 10b, CLKXP = 0(1)(2) (see Figure 6-32)  
-7/-6/-5/-4  
-L/-Q6/-Q5/-Q4  
NO.  
PARAMETER  
UNIT  
MASTER(3)  
MIN  
SLAVE  
MIN  
MAX  
MAX  
1
2
3
th(CKXL-FXL)  
td(FXL-CKXH)  
td(CKXH-DXV)  
Hold time, FSX low after CLKX low(4)  
Delay time, FSX low to CLKX high(5)  
Delay time, CLKX high to DX valid  
T - 4  
L - 4  
-4  
T + 5.5  
L + 4  
5.5  
ns  
ns  
ns  
3P + 2.8  
5P + 17  
Disable time, DX high impedance following  
last data bit from CLKX low  
6
tdis(CKXL-DXHZ)  
L - 6  
L + 7.5  
ns  
Disable time, DX high impedance following  
last data bit from FSX high  
7
8
tdis(FXH-DXHZ)  
td(FXL-DXV)  
P + 3  
3P + 17  
4P + 17  
ns  
ns  
Delay time, FSX low to DX valid  
2P + 1.8  
(1) P = SYSCLK3 period in ns. For example, when running parts at 600 MHz, use P = 10 ns.  
(2) For all SPI Slave modes, the rate of the internal clock CLKG must be at least 8 times faster than that of the SPI data rate. User should  
program sample rate generator to achieve maximum CLKG by setting CLKSM = CLKGDV = 1.  
(3) S = Sample rate generator input clock = 2P if CLKSM = 1 (P = SYSCLK3 period)  
S = Sample rate generator input clock = 2P_clks if CLKSM = 0 (P_clks = CLKS period)  
T = CLKX period = (1 + CLKGDV) * S  
H = CLKX high pulse width = (CLKGDV/2 + 1) * S if CLKGDV is even  
H = (CLKGDV + 1)/2 * S if CLKGDV is odd  
L = CLKX low pulse width = (CLKGDV/2) * S if CLKGDV is even  
L = (CLKGDV + 1)/2 * S if CLKGDV is odd  
(4) FSRP = FSXP = 1. As a SPI Master, FSX is inverted to provide active-low slave-enable output. As a Slave, the active-low signal input  
on FSX and FSR is inverted before being used internally.  
CLKXM = FSXM = 1, CLKRM = FSRM = 0 for Master McBSP  
CLKXM = CLKRM = FSXM = FSRM = 0 for Slave McBSP  
(5) FSX should be low before the rising edge of clock to enable Slave devices and then begin a SPI transfer at the rising edge of the Master  
clock (CLKX).  
CLKX  
1
2
8
FSX  
7
6
3
DX  
DR  
Bit 0  
Bit(n-1)  
Bit(n-1)  
(n-2)  
(n-3)  
(n-3)  
(n-4)  
4
5
Bit 0  
(n-2)  
(n-4)  
Figure 6-32. McBSP Timing as SPI Master or Slave: CLKSTP = 10b, CLKXP = 0  
Submit Documentation Feedback  
Peripheral Information and Electrical Specifications  
223  
 
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
Table 6-55. Timing Requirements for McBSP as SPI Master or Slave: CLKSTP = 11b, CLKXP = 0(1)(2)  
(see Figure 6-33)  
-7/-6/-5/-4  
-L/-Q6/-Q5/-Q4  
NO.  
UNIT  
MASTER  
SLAVE  
MIN  
MIN  
14  
4
MAX  
MAX  
4
5
tsu(DRV-CKXH)  
th(CKXH-DRV)  
Setup time, DR valid before CLKX high  
Hold time, DR valid after CLKX high  
2 - 3P  
5 + 6P  
ns  
ns  
(1) P = SYSCLK3 period in ns. For example, when running parts at 600 MHz, use P = 10 ns.  
(2) For all SPI Slave modes, the rate of the internal clock CLKG must be at least 8 times faster than that of the SPI data rate. User should  
program sample rate generator to achieve maximum CLKG by setting CLKSM = CLKGDV = 1.  
224  
Peripheral Information and Electrical Specifications  
Submit Documentation Feedback  
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
Table 6-56. Switching Characteristics Over Recommended Operating Conditions for McBSP as SPI  
Master or Slave: CLKSTP = 11b, CLKXP = 0(1)(2) (see Figure 6-33)  
-7/-6/-5/-4  
-L/-Q6/-Q5/-Q4  
NO.  
PARAMETER  
UNIT  
MASTER(3)  
MIN  
SLAVE  
MIN  
MAX  
MAX  
1
2
3
th(CKXL-FXL)  
td(FXL-CKXH)  
td(CKXL-DXV)  
Hold time, FSX low after CLKX low(4)  
Delay time, FSX low to CLKX high(5)  
Delay time, CLKX low to DX valid  
L - 4  
T - 4  
-4  
L + 5.5  
T + 4  
5.5  
ns  
ns  
ns  
3P + 2.8  
3P + 2  
2P + 2  
5P + 17  
5P + 17  
4P + 17  
Disable time, DX high impedance following  
last data bit from CLKX low  
6
7
tdis(CKXL-DXHZ)  
td(FXL-DXV)  
-6  
7.5  
ns  
ns  
Delay time, FSX low to DX valid  
H - 4  
H + 5.5  
(1) P = SYSCLK3 period in ns. For example, when running parts at 600 MHz, use P = 10 ns.  
(2) For all SPI Slave modes, the rate of the internal clock CLKG must be at least 8 times faster than that of the SPI data rate. User should  
program sample rate generator to achieve maximum CLKG by setting CLKSM = CLKGDV = 1.  
(3) S = Sample rate generator input clock = 2P if CLKSM = 1 (P = SYSCLK3 period)  
S = Sample rate generator input clock = 2P_clks if CLKSM = 0 (P_clks = CLKS period)  
T = CLKX period = (1 + CLKGDV) * S  
H = CLKX high pulse width = (CLKGDV/2 + 1) * S if CLKGDV is even  
H = (CLKGDV + 1)/2 * S if CLKGDV is odd  
L = CLKX low pulse width = (CLKGDV/2) * S if CLKGDV is even  
L = (CLKGDV + 1)/2 * S if CLKGDV is odd  
(4) FSRP = FSXP = 1. As a SPI Master, FSX is inverted to provide active-low slave-enable output. As a Slave, the active-low signal input  
on FSX and FSR is inverted before being used internally.  
CLKXM = FSXM = 1, CLKRM = FSRM = 0 for Master McBSP  
CLKXM = CLKRM = FSXM = FSRM = 0 for Slave McBSP  
(5) FSX should be low before the rising edge of clock to enable Slave devices and then begin a SPI transfer at the rising edge of the Master  
clock (CLKX).  
CLKX  
1
2
7
FSX  
DX  
6
3
Bit 0  
Bit(n-1)  
Bit(n-1)  
(n-2)  
(n-3)  
(n-3)  
(n-4)  
4
5
DR  
Bit 0  
(n-2)  
(n-4)  
Figure 6-33. McBSP Timing as SPI Master or Slave: CLKSTP = 11b, CLKXP = 0  
Table 6-57. Timing Requirements for McBSP as SPI Master or Slave: CLKSTP = 10b, CLKXP = 1(1)(2)  
(see Figure 6-34)  
-7/-6/-5/-4  
-L/-Q6/-Q5/-Q4  
NO.  
UNIT  
MASTER  
SLAVE  
MIN  
MIN  
14  
4
MAX  
MAX  
4
5
tsu(DRV-CKXH)  
th(CKXH-DRV)  
Setup time, DR valid before CLKX high  
Hold time, DR valid after CLKX high  
2 - 3P  
5 + 6P  
ns  
ns  
(1) P = SYSCLK3 period in ns. For example, when running parts at 600 MHz, use P = 10 ns.  
(2) For all SPI Slave modes, the rate of the internal clock CLKG must be at least 8 times faster than that of the SPI data rate. User should  
program sample rate generator to achieve maximum CLKG by setting CLKSM = CLKGDV = 1.  
Submit Documentation Feedback  
Peripheral Information and Electrical Specifications  
225  
 
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
Table 6-58. Switching Characteristics Over Recommended Operating Conditions for McBSP as SPI  
Master or Slave: CLKSTP = 10b, CLKXP = 1(1)(2) (see Figure 6-34)  
-7/-6/-5/-4  
-L/-Q6/-Q5/-Q4  
NO.  
PARAMETER  
UNIT  
MASTER(3)  
MIN  
SLAVE  
MIN  
MAX  
MAX  
1
2
3
th(CKXH-FXL)  
td(FXL-CKXL)  
td(CKXL-DXV)  
Hold time, FSX low after CLKX high(4)  
Delay time, FSX low to CLKX low(5)  
Delay time, CLKX low to DX valid  
T - 4  
H - 4  
-4  
T + 5.5  
H + 4  
5.5  
ns  
ns  
ns  
3P + 2.8  
5P + 17  
Disable time, DX high impedance following  
last data bit from CLKX high  
6
tdis(CKXH-DXHZ)  
H - 6  
H + 7.5  
ns  
Disable time, DX high impedance following  
last data bit from FSX high  
7
8
tdis(FXH-DXHZ)  
td(FXL-DXV)  
P + 3  
3P + 17  
4P + 17  
ns  
ns  
Delay time, FSX low to DX valid  
2P + 2  
(1) P = SYSCLK3 period in ns. For example, when running parts at 600 MHz, use P = 10 ns.  
(2) For all SPI Slave modes, the rate of the internal clock CLKG must be at least 8 times faster than that of the SPI data rate. User should  
program sample rate generator to achieve maximum CLKG by setting CLKSM = CLKGDV = 1.  
(3) S = Sample rate generator input clock = 2P if CLKSM = 1 (P = SYSCLK3 period)  
S = Sample rate generator input clock = 2P_clks if CLKSM = 0 (P_clks = CLKS period)  
T = CLKX period = (1 + CLKGDV) * S  
H = CLKX high pulse width = (CLKGDV/2 + 1) * S if CLKGDV is even  
H = (CLKGDV + 1)/2 * S if CLKGDV is odd  
L = CLKX low pulse width = (CLKGDV/2) * S if CLKGDV is even  
L = (CLKGDV + 1)/2 * S if CLKGDV is odd  
(4) FSRP = FSXP = 1. As a SPI Master, FSX is inverted to provide active-low slave-enable output. As a Slave, the active-low signal input  
on FSX and FSR is inverted before being used internally.  
CLKXM = FSXM = 1, CLKRM = FSRM = 0 for Master McBSP  
CLKXM = CLKRM = FSXM = FSRM = 0 for Slave McBSP  
(5) FSX should be low before the rising edge of clock to enable Slave devices and then begin a SPI transfer at the rising edge of the Master  
clock (CLKX).  
CLKX  
1
2
8
FSX  
7
6
3
DX  
DR  
Bit 0  
Bit(n-1)  
Bit(n-1)  
(n-2)  
(n-3)  
(n-4)  
4
5
Bit 0  
(n-2)  
(n-3)  
(n-4)  
Figure 6-34. McBSP Timing as SPI Master or Slave: CLKSTP = 10b, CLKXP = 1  
Table 6-59. Timing Requirements for McBSP as SPI Master or Slave: CLKSTP = 11b, CLKXP = 1(1)(2)  
(see Figure 6-35)  
-7/-6/-5/-4  
-L/-Q6/-Q5/-Q4  
NO.  
UNIT  
MASTER  
SLAVE  
MIN  
MIN  
14  
4
MAX  
MAX  
4
5
tsu(DRV-CKXH)  
th(CKXH-DRV)  
Setup time, DR valid before CLKX high  
Hold time, DR valid after CLKX high  
2 - 3P  
5+ 6P  
ns  
ns  
(1) P = SYSCLK3 period in ns. For example, when running parts at 600 MHz, use P = 10 ns.  
(2) For all SPI Slave modes, the rate of the internal clock CLKG must be at least 8 times faster than that of the SPI data rate. User should  
program sample rate generator to achieve maximum CLKG by setting CLKSM = CLKGDV = 1.  
226  
Peripheral Information and Electrical Specifications  
Submit Documentation Feedback  
 
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
Table 6-60. Switching Characteristics Over Recommended Operating Conditions for McBSP as SPI  
Master or Slave: CLKSTP = 11b, CLKXP = 1(1)(2) (see Figure 6-35)  
-7/-6/-5/-4  
-L/-Q6/-Q5/-Q4  
NO.  
PARAMETER  
UNIT  
MASTER(3)  
MIN  
SLAVE  
MIN  
MAX  
MAX  
1
2
3
th(CKXH-FXL)  
td(FXL-CKXL)  
td(CKXH-DXV)  
Hold time, FSX low after CLKX high(4)  
Delay time, FSX low to CLKX low(5)  
Delay time, CLKX high to DX valid  
H - 4  
T - 4  
-4  
H + 5.5  
T + 4  
5.5  
ns  
ns  
ns  
3P + 2.8  
3P + 2  
2P + 2  
5P + 17  
5P + 17  
4P + 17  
Disable time, DX high impedance following  
last data bit from CLKX high  
6
7
tdis(CKXH-DXHZ)  
td(FXL-DXV)  
-6  
7.5  
ns  
ns  
Delay time, FSX low to DX valid  
L - 4  
L+ 5.5  
(1) P = SYSCLK3 period in ns. For example, when running parts at 600 MHz, use P = 10 ns.  
(2) For all SPI Slave modes, the rate of the internal clock CLKG must be at least 8 times faster than that of the SPI data rate. User should  
program sample rate generator to achieve maximum CLKG by setting CLKSM = CLKGDV = 1.  
(3) S = Sample rate generator input clock = 2P if CLKSM = 1 (P = SYSCLK3 period)  
S = Sample rate generator input clock = 2P_clks if CLKSM = 0 (P_clks = CLKS period)  
T = CLKX period = (1 + CLKGDV) * S  
H = CLKX high pulse width = (CLKGDV/2 + 1) * S if CLKGDV is even  
H = (CLKGDV + 1)/2 * S if CLKGDV is odd  
L = CLKX low pulse width = (CLKGDV/2) * S if CLKGDV is even  
L = (CLKGDV + 1)/2 * S if CLKGDV is odd  
(4) FSRP = FSXP = 1. As a SPI Master, FSX is inverted to provide active-low slave-enable output. As a Slave, the active-low signal input  
on FSX and FSR is inverted before being used internally.  
CLKXM = FSXM = 1, CLKRM = FSRM = 0 for Master McBSP  
CLKXM = CLKRM = FSXM = FSRM = 0 for Slave McBSP  
(5) FSX should be low before the rising edge of clock to enable Slave devices and then begin a SPI transfer at the rising edge of the Master  
clock (CLKX).  
CLKX  
1
2
FSX  
DX  
7
6
3
Bit 0  
Bit 0  
Bit(n-1)  
Bit(n-1)  
(n-2)  
(n-3)  
(n-4)  
4
5
DR  
(n-2)  
(n-3)  
(n-4)  
Figure 6-35. McBSP Timing as SPI Master or Slave: CLKSTP = 11b, CLKXP = 1  
Submit Documentation Feedback  
Peripheral Information and Electrical Specifications  
227  
 
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
6.15 Multichannel Audio Serial Port (McASP0) Peripheral  
The McASP functions as a general-purpose audio serial port optimized for the needs of multichannel  
audio applications. The McASP is useful for time-division multiplexed (TDM) stream, Inter-Integrated  
Sound (I2S) protocols, and intercomponent digital audio interface transmission (DIT).  
6.15.1 McASP0 Device-Specific Information  
The DM6433 device includes one multichannel audio serial port (McASP) interface peripheral (McASP0).  
The McASP0 is a serial port optimized for the needs of multichannel audio applications.  
The McASP0 consists of a transmit and receive section. These sections can operate completely  
independently with different data formats, separate master clocks, bit clocks, and frame syncs or  
alternatively, the transmit and receive sections may be synchronized. The McASP module also includes a  
pool of 16 shift registers that may be configured to operate as either transmit data or receive data.  
The transmit section of the McASP can transmit data in either a time-division-multiplexed (TDM)  
synchronous serial format or in a digital audio interface (DIT) format where the bit stream is encoded for  
S/PDIF, AES-3, IEC-60958, CP-430 transmission. The receive section of the McASP supports the TDM  
synchronous serial format.  
The McASP can support one transmit data format (either a TDM format or DIT format) and one receive  
format at a time. All transmit shift registers use the same format and all receive shift registers use the  
same format. However, the transmit and receive formats need not be the same.  
Both the transmit and receive sections of the McASP also support burst mode which is useful for  
non-audio data (for example, passing control information between two DSPs).  
The McASP peripheral has additional capability for flexible clock generation, and error detection/handling,  
as well as error management.  
For more detailed information on and the functionality of the McASP0 peripheral, see the TMS320DM643x  
DMP Multichannel Audio Serial Port (McASP) User's Guide (literature number SPRU980).  
228  
Peripheral Information and Electrical Specifications  
Submit Documentation Feedback  
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
6.15.1.1 McASP Block Diagram  
Figure 6-36 illustrates the major blocks along with external signals of the TMS320DM6433 McASP0  
peripheral; and shows the 4 serial data [AXR] pins.  
McASP0  
Transmit  
DIT  
RAM  
Frame Sync  
Generator  
AFSX0  
T
ransmit  
Clock Check  
(High-  
Transmit  
Clock  
Generator  
AHCLKX0  
ACLKX0  
Frequency)  
AMUTE0  
Error  
Detect  
AMUTEIN0  
Receive  
Clock Check  
(High-  
Receive  
Clock  
Generator  
AHCLKR0  
ACLKR0  
Frequency)  
Transmit  
Data  
Formatter  
Receive  
Frame Sync  
Generator  
AFSR0  
Serializer 0  
AXR0[0]  
AXR0[1]  
AXR0[2]  
AXR0[3]  
Serializer 1  
Serializer 2  
Serializer 3  
Receive  
Data  
Formatter  
GPIO  
Control  
Figure 6-36. McASP0 Configuration  
Submit Documentation Feedback  
Peripheral Information and Electrical Specifications  
229  
 
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
6.15.1.2 McASP0 Peripheral Register Description(s)  
Table 6-61. McASP0 Control Registers  
HEX ADDRESS RANGE  
01D0 1000  
ACRONYM  
REGISTER NAME  
PID  
Peripheral Identification register [Register value: 0x0010 0101]  
01D0 1004  
Reserved  
01D0 1008  
Reserved  
01D0 100C  
Reserved  
01D0 1010  
PFUNC  
Pin function register  
Pin direction register  
Reserved  
01D0 1014  
PDIR  
01D0 1018  
01D0 101C  
Reserved  
01D0 1020  
Reserved  
01D0 1024 – 01D0 1040  
01D0 1044  
Reserved  
GBLCTL  
AMUTE  
DLBCTL  
DITCTL  
Global control register  
Mute control register  
Digital Loop-back control register  
DIT mode control register  
Reserved  
01D0 1048  
01D0 104C  
01D0 1050  
01D0 1054 – 01D0 105C  
Alias of GBLCTL containing only Receiver Reset bits, allows transmit to be reset  
independently from receive.  
01D0 1060  
RGBLCTL  
01D0 1064  
01D0 1068  
RMASK  
RFMT  
Receiver format UNIT bit mask register  
Receive bit stream format register  
Receive frame sync control register  
Receive clock control register  
High-frequency receive clock control register  
Receive TDM slot 0–31 register  
Receiver interrupt control register  
Status register – Receiver  
01D0 106C  
AFSRCTL  
ACLKRCTL  
AHCLKRCTL  
RTDM  
01D0 1070  
01D0 1074  
01D0 1078  
01D0 107C  
RINTCTL  
RSTAT  
01D0 1080  
01D0 1084  
RSLOT  
Current receive TDM slot register  
Receiver clock check control register  
Reserved  
01D0 1088  
RCLKCHK  
01D0 108C – 01D0 109C  
Alias of GBLCTL containing only Transmitter Reset bits, allows transmit to be reset  
independently from receive.  
01D0 10A0  
XGBLCTL  
01D0 10A4  
01D0 10A8  
01D0 10AC  
01D0 10B0  
01D0 10B4  
01D0 10B8  
01D0 10BC  
01D0 10C0  
01D0 10C4  
01D0 10C8  
XMASK  
XFMT  
Transmit format UNIT bit mask register  
Transmit bit stream format register  
Transmit frame sync control register  
Transmit clock control register  
AFSXCTL  
ACLKXCTL  
AHCLKXCTL  
XTDM  
High-frequency Transmit clock control register  
Transmit TDM slot 0–31 register  
Transmit interrupt control register  
Status register – Transmitter  
XINTCTL  
XSTAT  
XSLOT  
Current transmit TDM slot  
XCLKCHK  
Transmit clock check control register  
230  
Peripheral Information and Electrical Specifications  
Submit Documentation Feedback  
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
Table 6-61. McASP0 Control Registers (continued)  
HEX ADDRESS RANGE  
01D0 10CC – 01D0 10FC  
01D0 1100  
ACRONYM  
REGISTER NAME  
Reserved  
DITCSRA0  
DITCSRA1  
DITCSRA2  
DITCSRA3  
DITCSRA4  
DITCSRA5  
DITCSRB0  
DITCSRB1  
DITCSRB2  
DITCSRB3  
DITCSRB4  
DITCSRB5  
DITUDRA0  
DITUDRA1  
DITUDRA2  
DITUDRA3  
DITUDRA4  
DITUDRA5  
DITUDRB0  
DITUDRB1  
DITUDRB2  
DITUDRB3  
DITUDRB4  
DITUDRB5  
Left (even TDM slot) channel status register file  
Left (even TDM slot) channel status register file  
Left (even TDM slot) channel status register file  
Left (even TDM slot) channel status register file  
Left (even TDM slot) channel status register file  
Left (even TDM slot) channel status register file  
Right (odd TDM slot) channel status register file  
Right (odd TDM slot) channel status register file  
Right (odd TDM slot) channel status register file  
Right (odd TDM slot) channel status register file  
Right (odd TDM slot) channel status register file  
Right (odd TDM slot) channel status register file  
Left (even TDM slot) user data register file  
Left (even TDM slot) user data register file  
Left (even TDM slot) user data register file  
Left (even TDM slot) user data register file  
Left (even TDM slot) user data register file  
Left (even TDM slot) user data register file  
Right (odd TDM slot) user data register file  
Right (odd TDM slot) user data register file  
Right (odd TDM slot) user data register file  
Right (odd TDM slot) user data register file  
Right (odd TDM slot) user data register file  
Right (odd TDM slot) user data register file  
Reserved  
01D0 1104  
01D0 1108  
01D0 110C  
01D0 1110  
01D0 1114  
01D0 1118  
01D0 111C  
01D0 1120  
01D0 1124  
01D0 1128  
01D0 112C  
01D0 1130  
01D0 1134  
01D0 1138  
01D0 113C  
01D0 1140  
01D0 1144  
01D0 1148  
01D0 114C  
01D0 1150  
01D0 1154  
01D0 1158  
01D0 115C  
01D0 1160 – 01D0 117C  
01D0 1180  
SRCTL0  
SRCTL1  
SRCTL2  
SRCTL3  
Serializer 0 control register  
01D0 1184  
Serializer 1 control register  
01D0 1188  
Serializer 2 control register  
01D0 118C  
Serializer 3 control register  
01D0 1190 – 01D0 11FC  
01D0 1200  
Reserved  
XBUF0  
Transmit Buffer for Serializer 0  
01D0 1204  
XBUF1  
Transmit Buffer for Serializer 1  
01D0 1208  
XBUF2  
Transmit Buffer for Serializer 2  
01D0 120C  
XBUF3  
Transmit Buffer for Serializer 3  
01D0 1210 – 01D0 127C  
01D0 1280  
Reserved  
RBUF0  
Receive Buffer for Serializer 0  
01D0 1284  
RBUF1  
Receive Buffer for Serializer 1  
01D0 1288  
RBUF2  
Receive Buffer for Serializer 2  
01D0 128C  
RBUF3  
Receive Buffer for Serializer 3  
01D0 1290 – 01D0 13FF  
Reserved  
Table 6-62. McASP0 Data Registers  
HEX ADDRESS RANGE  
ACRONYM  
REGISTER NAME  
COMMENTS  
(Used when RSEL or XSEL  
bits = 0 [these bits are located  
in the RFMT or XFMT registers,  
respectively].)  
McASP0 receive buffers or McASP0 transmit buffers via  
the Peripheral Data Bus.  
01D0 1400 – 01D0 17FF  
RBUF/XBUF  
Submit Documentation Feedback  
Peripheral Information and Electrical Specifications  
231  
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
6.15.1.3 McASP0 Electrical Data/Timing  
6.15.1.3.1 Multichannel Audio Serial Port (McASP) Timing  
Table 6-63. Timing Requirements for McASP (see Figure 6-37 and Figure 6-38)(1)  
-7/-6/-5/-4  
-L/-Q6/-Q5/-  
Q4  
NO.  
UNIT  
MIN MAX  
1
2
3
4
tc(AHCKRX)  
tw(AHCKRX)  
tc(CKRX)  
Cycle time, AHCLKR/X  
25  
10  
25  
10  
11  
3
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
Pulse duration, AHCLKR/X high or low  
Cycle time, ACLKR/X  
ACLKR/X ext  
tw(CKRX)  
Pulse duration, ACLKR/X high or low  
ACLKR/X ext  
ACLKR/X int  
5
6
7
8
tsu(FRX-CKRX)  
th(CKRX-FRX)  
tsu(AXR-CKRX)  
th(CKRX-AXR)  
Setup time, AFSR/X input valid before ACLKR/X latches data  
Hold time, AFSR/X input valid after ACLKR/X latches data  
Setup time, AXR input valid before ACLKR/X latches data  
Hold time, AXR input valid after ACLKR/X latches data  
ACLKR/X ext  
ACLKR/X int  
0
ACLKR/X ext input  
ACLKR/X ext output  
ACLKR/X int  
4
6
11  
3
ACLKR/X ext  
ACLKR/X int  
3
ACLKR/X ext input  
ACLKR/X ext output  
4
6
(1) ACLKX internal: ACLKXCTL.CLKXM=1, PDIR.ACLKX = 1  
ACLKX external input: ACLKXCTL.CLKXM=0, PDIR.ACLKX=0  
ACLKX external output: ACLKXCTL.CLKXM=0, PDIR.ACLKX=1  
ACLKR internal: ACLKRCTL.CLKRM=1, PDIR.ACLKR = 1  
ACLKR external input: ACLKRCTL.CLKRM=0, PDIR.ACLKR=0  
ACLKR external output: ACLKRCTL.CLKRM=0, PDIR.ACLKR=1  
232  
Peripheral Information and Electrical Specifications  
Submit Documentation Feedback  
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
Table 6-64. Switching Characteristics Over Recommended Operating Conditions for McASP(1)(2)  
(see Figure 6-37 and Figure 6-38)(3)  
-7/-6/-5/-4  
-L/-Q6/-Q5/-Q4  
NO.  
PARAMETER  
UNIT  
MIN  
MAX  
9
tc(AHCKRX)  
Cycle time, AHCLKR/X  
25  
ns  
ns  
10 tw(AHCKRX)  
Pulse duration, AHCLKR/X high or low  
Cycle time, ACLKR/X  
AH - 2.5  
ACLKR/X  
int  
11 tc(CKRX)  
25  
ns  
ns  
ns  
ns  
ACLKR/X  
int  
12 tw(CKRX)  
Pulse duration, ACLKR/X high or low  
A - 2.5  
-2.25  
0
ACLKR/X  
int  
5.5  
ACLKR/X  
ext input  
13 td(CKRX-FRX)  
Delay time, ACLKR/X transmit edge to AFSX/R output valid  
12.5  
ACLKR/X  
ext output  
0
-2.25  
0
14  
5.5  
ns  
ns  
ns  
ACLKX int  
ACLKX  
ext input  
12.5  
14 td(CKX-AXRV)  
Delay time, ACLKX transmit edge to AXR output valid  
ACLKX  
ext output  
0
14  
8
ns  
ns  
ns  
ACLKR/X  
int  
-4.5  
Disable time, AXR high impedance following last data bit from  
ACLKR/X transmit edge  
15 tdis(CKRX-AXRHZ)  
ACLKR/X  
ext  
-4.5 12.5  
(1) A = (ACLKR/X period)/2 in ns. For example, when ACLKR/X period is 25 ns, use A = 12.5 ns.  
(2) AH = (AHCLKR/X period)/2 in ns. For example, when AHCLKR/X period is 25 ns, use AH = 12.5 ns.  
(3) ACLKX internal: ACLKXCTL.CLKXM=1, PDIR.ACLKX = 1  
ACLKX external input: ACLKXCTL.CLKXM=0, PDIR.ACLKX=0  
ACLKX external output: ACLKXCTL.CLKXM=0, PDIR.ACLKX=1  
ACLKR internal: ACLKRCTL.CLKRM=1, PDIR.ACLKR = 1  
ACLKR external input: ACLKRCTL.CLKRM=0, PDIR.ACLKR=0  
ACLKR external output: ACLKRCTL.CLKRM=0, PDIR.ACLKR=1  
Submit Documentation Feedback  
Peripheral Information and Electrical Specifications  
233  
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
2
1
2
AHCLKR/X (Falling Edge Polarity)  
AHCLKR/X (Rising Edge Polarity)  
4
3
4
(A)  
ACLKR/X (CLKRP = CLKXP = 0)  
(B)  
ACLKR/X (CLKRP = CLKXP = 1)  
6
5
AFSR/X (Bit Width, 0 Bit Delay)  
AFSR/X (Bit Width, 1 Bit Delay)  
AFSR/X (Bit Width, 2 Bit Delay)  
AFSR/X (Slot Width, 0 Bit Delay)  
AFSR/X (Slot Width, 1 Bit Delay)  
AFSR/X (Slot Width, 2 Bit Delay)  
8
7
AXR[n] (Data In/Receive)  
A0 A1  
A30 A31 B0 B1  
B30 B31 C0 C1 C2 C3  
C31  
A. For CLKRP = CLKXP = 0, the McASP transmitter is configured for rising edge (to shift data out) and the McASP  
receiver is configured for falling edge (to shift data in).  
B. For CLKRP = CLKXP = 1, the McASP transmitter is configured for falling edge (to shift data out) and the McASP  
receiver is configured for rising edge (to shift data in).  
Figure 6-37. McASP Input Timings  
234  
Peripheral Information and Electrical Specifications  
Submit Documentation Feedback  
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
10  
10  
9
AHCLKR/X (Falling Edge Polarity)  
AHCLKR/X (Rising Edge Polarity)  
12  
11  
12  
(A)  
ACLKR/X (CLKRP = CLKXP = 1)  
(B)  
ACLKR/X (CLKRP = CLKXP = 0)  
13  
13  
13  
13  
AFSR/X (Bit Width, 0 Bit Delay)  
AFSR/X (Bit Width, 1 Bit Delay)  
AFSR/X (Bit Width, 2 Bit Delay)  
AFSR/X (Slot Width, 0 Bit Delay)  
AFSR/X (Slot Width, 1 Bit Delay)  
AFSR/X (Slot Width, 2 Bit Delay)  
AXR[n] (Data Out/Transmit)  
13  
13  
13  
14  
15  
A0 A1  
A30 A31 B0 B1  
B30 B31 C0 C1 C2 C3  
C31  
A. For CLKRP = CLKXP = 1, the McASP transmitter is configured for falling edge (to shift data out) and the McASP  
receiver is configured for rising edge (to shift data in).  
B. For CLKRP = CLKXP = 0, the McASP transmitter is configured for rising edge (to shift data out) and the McASP  
receiver is configured for falling edge (to shift data in).  
Figure 6-38. McASP Output Timings  
Submit Documentation Feedback  
Peripheral Information and Electrical Specifications  
235  
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
6.16 Ethernet Media Access Controller (EMAC)  
The Ethernet Media Access Controller (EMAC) provides an efficient interface between DM6433 and the  
network. The DM6433 EMAC supports both 10Base-T (10 Mbits/second [Mbps]) and 100Base-TX (100  
Mbps) in either half- or full-duplex mode. The EMAC module also supports hardware flow control and  
quality of service (QOS) support.  
The EMAC controls the flow of packet data from the DM6433 device to the PHY. The MDIO module  
controls PHY configuration and status monitoring.  
The EMAC module conforms to the IEEE 802.3-2002 standard, describing the “Carrier Sense Multiple  
Access with Collision Detection (CSMA/CD) Access Method and Physical Layer” specifications. The IEEE  
802.3 standard has also been adopted by ISO/IEC and re-designated as ISO/IEC 8802-3:2000(E).  
Deviation from this standard, the EMAC module does not use the Transmit Coding Error signal MTXER.  
Instead of driving the error pin when an underflow condition occurs on a transmitted frame, the EMAC will  
intentionally generate an incorrect checksum by inverting the frame CRC, so that the transmitted frame  
will be detected as an error by the network.  
Both the EMAC and the MDIO modules interface to the DM6433 device through a custom interface that  
allows efficient data transmission and reception. This custom interface is referred to as the EMAC control  
module, and is considered integral to the EMAC/MDIO peripheral. The control module is also used to  
multiplex and control interrupts.  
For the DM6433 Ethernet Media Access Controller (EMAC)/Management Data Input/Output (MDIO)  
Module User's Guide (literature number SPRU941) which describes the DM6433 EMAC peripheral in  
detail, see Section 2.9, Documentation Support section . For a list of supported registers and register  
fields, see Table 6-65 [Ethernet MAC (EMAC) Control Registers] and Table 6-66 [EMAC Statistics  
Registers] in this data manual.  
6.16.1 EMAC Peripheral Register Description(s)  
Table 6-65. Ethernet MAC (EMAC) Control Registers  
HEX ADDRESS RANGE  
01C8 0000  
01C8 0004  
01C8 0008  
01C8 0010  
01C8 0014  
01C8 0018  
01C8 0080  
01C8 0084  
01C8 0088  
01C8 008C  
01C8 0090  
01C8 00A0  
01C8 00A4  
01C8 00A8  
01C8 00AC  
01C8 00B0  
01C8 00B4  
01C8 00B8  
01C8 00BC  
01C8 0100  
01C8 0104  
ACRONYM  
TXIDVER  
REGISTER NAME  
Transmit Identification and Version Register  
Transmit Control Register  
TXCONTROL  
TXTEARDOWN  
Transmit Teardown Register  
RXIDVER  
Receive Identification and Version Register  
Receive Control Register  
RXCONTROL  
RXTEARDOWN  
TXINTSTATRAW  
TXINTSTATMASKED  
TXINTMASKSET  
TXINTMASKCLEAR  
MACINVECTOR  
RXINTSTATRAW  
RXINTSTATMASKED  
RXINTMASKSET  
RXINTMASKCLEAR  
MACINTSTATRAW  
MACINTSTATMASKED  
MACINTMASKSET  
MACINTMASKCLEAR  
RXMBPENABLE  
RXUNICASTSET  
Receive Teardown Register  
Transmit Interrupt Status (Unmasked) Register  
Transmit Interrupt Status (Masked) Register  
Transmit Interrupt Mask Set Register  
Transmit Interrupt Mask Clear Register  
MAC Input Vector Register  
Receive Interrupt Status (Unmasked) Register  
Receive Interrupt Status (Masked) Register  
Receive Interrupt Mask Set Register  
Receive Interrupt Mask Clear Register  
MAC Interrupt Status (Unmasked) Register  
MAC Interrupt Status (Masked) Register  
MAC Interrupt Mask Set Register  
MAC Interrupt Mask Clear Register  
Receive Multicast/Broadcast/Promiscuous Channel Enable Register  
Receive Unicast Enable Set Register  
236  
Peripheral Information and Electrical Specifications  
Submit Documentation Feedback  
 
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
Table 6-65. Ethernet MAC (EMAC) Control Registers (continued)  
HEX ADDRESS RANGE  
ACRONYM  
RXUNICASTCLEAR  
RXMAXLEN  
REGISTER NAME  
01C8 0108  
01C8 010C  
01C8 0110  
01C8 0114  
01C8 0120  
01C8 0124  
01C8 0128  
01C8 012C  
01C8 0130  
01C8 0134  
01C8 0138  
01C8 013C  
01C8 0140  
01C8 0144  
01C8 0148  
01C8 014C  
01C8 0150  
01C8 0154  
01C8 0158  
01C8 015C  
01C8 0160  
01C8 0164  
01C8 0168  
01C8 016C  
01C8 0170  
01C8 0174  
01C8 01D0  
01C8 01D4  
01C8 01D8  
01C8 01DC  
01C8 01E0  
01C8 01E4  
01C8 01E8  
01C8 01EC  
01C8 0200 - 01C8 02FC  
01C8 0500  
01C8 0504  
01C8 0508  
01C8 0600  
01C8 0604  
01C8 0608  
01C8 060C  
01C8 0610  
01C8 0614  
01C8 0618  
01C8 061C  
01C8 0620  
Receive Unicast Clear Register  
Receive Maximum Length Register  
RXBUFFEROFFSET  
RXFILTERLOWTHRESH  
RX0FLOWTHRESH  
RX1FLOWTHRESH  
RX2FLOWTHRESH  
RX3FLOWTHRESH  
RX4FLOWTHRESH  
RX5FLOWTHRESH  
RX6FLOWTHRESH  
RX7FLOWTHRESH  
RX0FREEBUFFER  
RX1FREEBUFFER  
RX2FREEBUFFER  
RX3FREEBUFFER  
RX4FREEBUFFER  
RX5FREEBUFFER  
RX6FREEBUFFER  
RX7FREEBUFFER  
MACCONTROL  
MACSTATUS  
Receive Buffer Offset Register  
Receive Filter Low Priority Frame Threshold Register  
Receive Channel 0 Flow Control Threshold Register  
Receive Channel 1 Flow Control Threshold Register  
Receive Channel 2 Flow Control Threshold Register  
Receive Channel 3 Flow Control Threshold Register  
Receive Channel 4 Flow Control Threshold Register  
Receive Channel 5 Flow Control Threshold Register  
Receive Channel 6 Flow Control Threshold Register  
Receive Channel 7 Flow Control Threshold Register  
Receive Channel 0 Free Buffer Count Register  
Receive Channel 1 Free Buffer Count Register  
Receive Channel 2 Free Buffer Count Register  
Receive Channel 3 Free Buffer Count Register  
Receive Channel 4 Free Buffer Count Register  
Receive Channel 5 Free Buffer Count Register  
Receive Channel 6 Free Buffer Count Register  
Receive Channel 7 Free Buffer Count Register  
MAC Control Register  
MAC Status Register  
EMCONTROL  
FIFOCONTROL  
MACCONFIG  
Emulation Control Register  
FIFO Control Register (Transmit and Receive)  
MAC Configuration Register  
SOFTRESET  
Soft Reset Register  
MACSRCADDRLO  
MACSRCADDRHI  
MACHASH1  
MAC Source Address Low Bytes Register (Lower 32-bits)  
MAC Source Address High Bytes Register (Upper 16-bits)  
MAC Hash Address Register 1  
MACHASH2  
MAC Hash Address Register 2  
BOFFTEST  
Back Off Test Register  
TPACETEST  
Transmit Pacing Algorithm Test Register  
Receive Pause Timer Register  
RXPAUSE  
TXPAUSE  
Transmit Pause Timer Register  
(see Table 6-66)  
MACADDRLO  
MACADDRHI  
EMAC Statistics Registers  
MAC Address Low Bytes Register  
MAC Address High Bytes Register  
MACINDEX  
MAC Index Register  
TX0HDP  
Transmit Channel 0 DMA Head Descriptor Pointer Register  
Transmit Channel 1 DMA Head Descriptor Pointer Register  
Transmit Channel 2 DMA Head Descriptor Pointer Register  
Transmit Channel 3 DMA Head Descriptor Pointer Register  
Transmit Channel 4 DMA Head Descriptor Pointer Register  
Transmit Channel 5 DMA Head Descriptor Pointer Register  
Transmit Channel 6 DMA Head Descriptor Pointer Register  
Transmit Channel 7 DMA Head Descriptor Pointer Register  
Receive Channel 0 DMA Head Descriptor Pointer Register  
TX1HDP  
TX2HDP  
TX3HDP  
TX4HDP  
TX5HDP  
TX6HDP  
TX7HDP  
RX0HDP  
Submit Documentation Feedback  
Peripheral Information and Electrical Specifications  
237  
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
Table 6-65. Ethernet MAC (EMAC) Control Registers (continued)  
HEX ADDRESS RANGE  
01C8 0624  
ACRONYM  
RX1HDP  
RX2HDP  
RX3HDP  
RX4HDP  
RX5HDP  
RX6HDP  
RX7HDP  
REGISTER NAME  
Receive Channel 1 DMA Head Descriptor Pointer Register  
Receive Channel 2 DMA Head Descriptor Pointer Register  
Receive Channel 3 DMA Head Descriptor Pointer Register  
Receive Channel 4 DMA Head Descriptor Pointer Register  
Receive Channel 5 DMA Head Descriptor Pointer Register  
Receive Channel 6 DMA Head Descriptor Pointer Register  
Receive Channel 7 DMA Head Descriptor Pointer Register  
01C8 0628  
01C8 062C  
01C8 0630  
01C8 0634  
01C8 0638  
01C8 063C  
Transmit Channel 0 Completion Pointer (Interrupt Acknowledge)  
Register  
01C8 0640  
01C8 0644  
01C8 0648  
01C8 064C  
01C8 0650  
01C8 0654  
01C8 0658  
01C8 065C  
01C8 0660  
01C8 0664  
01C8 0668  
01C8 066C  
01C8 0670  
01C8 0674  
01C8 0678  
01C8 067C  
TX0CP  
TX1CP  
TX2CP  
TX3CP  
TX4CP  
TX5CP  
TX6CP  
TX7CP  
RX0CP  
RX1CP  
RX2CP  
RX3CP  
RX4CP  
RX5CP  
RX6CP  
RX7CP  
Transmit Channel 1 Completion Pointer (Interrupt Acknowledge)  
Register  
Transmit Channel 2 Completion Pointer (Interrupt Acknowledge)  
Register  
Transmit Channel 3 Completion Pointer (Interrupt Acknowledge)  
Register  
Transmit Channel 4 Completion Pointer (Interrupt Acknowledge)  
Register  
Transmit Channel 5 Completion Pointer (Interrupt Acknowledge)  
Register  
Transmit Channel 6 Completion Pointer (Interrupt Acknowledge)  
Register  
Transmit Channel 7 Completion Pointer (Interrupt Acknowledge)  
Register  
Receive Channel 0 Completion Pointer (Interrupt Acknowledge)  
Register  
Receive Channel 1 Completion Pointer (Interrupt Acknowledge)  
Register  
Receive Channel 2 Completion Pointer (Interrupt Acknowledge)  
Register  
Receive Channel 3 Completion Pointer (Interrupt Acknowledge)  
Register  
Receive Channel 4 Completion Pointer (Interrupt Acknowledge)  
Register  
Receive Channel 5 Completion Pointer (Interrupt Acknowledge)  
Register  
Receive Channel 6 Completion Pointer (Interrupt Acknowledge)  
Register  
Receive Channel 7 Completion Pointer (Interrupt Acknowledge)  
Register  
238  
Peripheral Information and Electrical Specifications  
Submit Documentation Feedback  
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
Table 6-66. EMAC Statistics Registers  
HEX ADDRESS RANGE  
ACRONYM  
REGISTER NAME  
01C8 0200  
RXGOODFRAMES  
Good Receive Frames Register  
Broadcast Receive Frames Register  
(Total number of good broadcast frames received)  
01C8 0204  
RXBCASTFRAMES  
Multicast Receive Frames Register  
(Total number of good multicast frames received)  
01C8 0208  
01C8 020C  
01C8 0210  
RXMCASTFRAMES  
RXPAUSEFRAMES  
RXCRCERRORS  
Pause Receive Frames Register  
Receive CRC Errors Register (Total number of frames received with  
CRC errors)  
Receive Alignment/Code Errors Register  
(Total number of frames received with alignment/code errors)  
01C8 0214  
01C8 0218  
01C8 021C  
01C8 0220  
RXALIGNCODEERRORS  
RXOVERSIZED  
Receive Oversized Frames Register  
(Total number of oversized frames received)  
Receive Jabber Frames Register  
(Total number of jabber frames received)  
RXJABBER  
Receive Undersized Frames Register  
(Total number of undersized frames received)  
RXUNDERSIZED  
01C8 0224  
01C8 0228  
01C8 022C  
RXFRAGMENTS  
RXFILTERED  
Receive Frame Fragments Register  
Filtered Receive Frames Register  
Received QOS Filtered Frames Register  
RXQOSFILTERED  
Receive Octet Frames Register  
(Total number of received bytes in good frames)  
01C8 0230  
01C8 0234  
RXOCTETS  
Good Transmit Frames Register  
(Total number of good frames transmitted)  
TXGOODFRAMES  
01C8 0238  
01C8 023C  
01C8 0240  
01C8 0244  
01C8 0248  
01C8 024C  
01C8 0250  
01C8 0254  
01C8 0258  
01C8 025C  
01C8 0260  
01C8 0264  
01C8 0268  
01C8 026C  
01C8 0270  
01C8 0274  
01C8 0278  
01C8 027C  
01C8 0280  
01C8 0284  
01C8 0288  
TXBCASTFRAMES  
TXMCASTFRAMES  
TXPAUSEFRAMES  
TXDEFERRED  
Broadcast Transmit Frames Register  
Multicast Transmit Frames Register  
Pause Transmit Frames Register  
Deferred Transmit Frames Register  
TXCOLLISION  
Transmit Collision Frames Register  
TXSINGLECOLL  
TXMULTICOLL  
TXEXCESSIVECOLL  
TXLATECOLL  
Transmit Single Collision Frames Register  
Transmit Multiple Collision Frames Register  
Transmit Excessive Collision Frames Register  
Transmit Late Collision Frames Register  
TXUNDERRUN  
TXCARRIERSENSE  
TXOCTETS  
Transmit Underrun Error Register  
Transmit Carrier Sense Errors Register  
Transmit Octet Frames Register  
FRAME64  
Transmit and Receive 64 Octet Frames Register  
Transmit and Receive 65 to 127 Octet Frames Register  
Transmit and Receive 128 to 255 Octet Frames Register  
Transmit and Receive 256 to 511 Octet Frames Register  
Transmit and Receive 512 to 1023 Octet Frames Register  
Transmit and Receive 1024 to 1518 Octet Frames Register  
Network Octet Frames Register  
FRAME65T127  
FRAME128T255  
FRAME256T511  
FRAME512T1023  
FRAME1024TUP  
NETOCTETS  
RXSOFOVERRUNS  
RXMOFOVERRUNS  
Receive FIFO or DMA Start of Frame Overruns Register  
Receive FIFO or DMA Middle of Frame Overruns Register  
Receive DMA Start of Frame and Middle of Frame Overruns  
Register  
01C8 028C  
RXDMAOVERRUNS  
Submit Documentation Feedback  
Peripheral Information and Electrical Specifications  
239  
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
Table 6-67. EMAC Control Module Registers  
HEX ADDRESS RANGE  
0x01C8 1004  
ACRONYM  
EWCTL  
REGISTER NAME  
Interrupt control register  
Interrupt timer count  
0x01C8 1008  
EWINTTCNT  
Table 6-68. EMAC Control Module RAM  
HEX ADDRESS RANGE  
ACRONYM  
REGISTER NAME  
EMAC Control Module Descriptor Memory  
0x01C8 2000 - 0x01C8 3FFF  
240  
Peripheral Information and Electrical Specifications  
Submit Documentation Feedback  
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
6.16.2 EMAC Electrical Data/Timing  
Table 6-69. Timing Requirements for MRCLK (see Figure 6-39)  
-7/-6/-5/-4  
-L/-Q6/-Q5/-Q4  
NO.  
UNIT  
10 Mbps  
100 Mbps  
MIN MAX MIN MAX  
1
2
3
tc(MRCLK)  
Cycle time, MRCLK  
400  
140  
140  
40  
14  
14  
ns  
ns  
ns  
tw(MRCLKH) Pulse duration, MRCLK high  
tw(MRCLKL) Pulse duration, MRCLK low  
1
2
3
MRCLK  
Figure 6-39. MRCLK Timing (EMAC - Receive)  
Table 6-70. Timing Requirements for MTCLK (see Figure 6-39)  
-7/-6/-5/-4  
-L/-Q6/-Q5/-Q4  
NO.  
UNIT  
10 Mbps 100 Mbps  
MIN MAX MIN MAX  
1
2
3
tc(MTCLK)  
Cycle time, MTCLK  
400  
140  
140  
40  
14  
14  
ns  
ns  
ns  
tw(MTCLKH) Pulse duration, MTCLK high  
tw(MTCLKL)  
Pulse duration, MTCLK low  
1
2
3
MTCLK  
Figure 6-40. MTCLK Timing (EMAC - Transmit)  
Table 6-71. Timing Requirements for EMAC MII Receive 10/100 Mbit/s(1) (see Figure 6-41)  
-7/-6/-5/-4  
-L/-Q6/-Q5/-  
Q4  
NO.  
UNIT  
MIN  
8
MAX  
1
2
tsu(MRXD-MRCLKH)  
th(MRCLKH-MRXD)  
Setup time, receive selected signals valid before MRCLK high  
Hold time, receive selected signals valid after MRCLK high  
ns  
ns  
8
(1) Receive selected signals include: MRXD3-MRXD0, MRXDV, and MRXER.  
Submit Documentation Feedback  
Peripheral Information and Electrical Specifications  
241  
 
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
1
2
MRCLK (Input)  
MRXD3−MRXD0,  
MRXDV, MRXER (Inputs)  
Figure 6-41. EMAC Receive Interface Timing  
Table 6-72. Switching Characteristics Over Recommended Operating Conditions for EMAC MII Transmit  
10/100 Mbit/s(1) (see Figure 6-42)  
-7/-6/-5/-4  
-L/-Q6/-Q5/-Q4  
NO.  
UNIT  
MIN  
MAX  
1
td(MTCLKH-MTXD)  
Delay time, MTCLK high to transmit selected signals valid  
2
25  
ns  
(1) Transmit selected signals include: MTXD3-MTXD0, and MTXEN.  
1
MTCLK (Input)  
MTXD3−MTXD0,  
MTXEN (Outputs)  
Figure 6-42. EMAC Transmit Interface Timing  
242  
Peripheral Information and Electrical Specifications  
Submit Documentation Feedback  
 
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
6.17 Management Data Input/Output (MDIO)  
The Management Data Input/Output (MDIO) module continuously polls all 32 MDIO addresses in order to  
enumerate all PHY devices in the system.  
The Management Data Input/Output (MDIO) module implements the 802.3 serial management interface to  
interrogate and control Ethernet PHY(s) using a shared two-wire bus. Host software uses the MDIO  
module to configure the auto-negotiation parameters of each PHY attached to the EMAC, retrieve the  
negotiation results, and configure required parameters in the EMAC module for correct operation. The  
module is designed to allow almost transparent operation of the MDIO interface, with very little  
maintenance from the core processor. Only one PHY may be connected at any given time.  
For more detailed information on the MDIO peripheral, see the Documentation Support section for the  
Ethernet Media Access Controller (EMAC)/Management Data Input/Output (MDIO) Module Reference  
Guide. For a list of supported registers and register fields, see Table 6-73 [MDIO Registers] in this data  
manual.  
6.17.1 Peripheral Register Description(s)  
Table 6-73. MDIO Registers  
HEX ADDRESS RANGE  
0x01C8 4000  
ACRONYM  
REGISTER NAME  
Reserved  
0x01C8 4004  
CONTROL  
ALIVE  
MDIO Control Register  
0x01C8 4008  
MDIO PHY Alive Status Register  
0x01C8 400C  
LINK  
MDIO PHY Link Status Register  
0x01C8 4010  
LINKINTRAW  
LINKINTMASKED  
MDIO Link Status Change Interrupt (Unmasked) Register  
MDIO Link Status Change Interrupt (Masked) Register  
Reserved  
0x01C8 4014  
0x01C8 4018  
0x01C8 4020  
USERINTRAW  
USERINTMASKED  
USERINTMASKSET  
MDIO User Command Complete Interrupt (Unmasked) Register  
MDIO User Command Complete Interrupt (Masked) Register  
MDIO User Command Complete Interrupt Mask Set Register  
0x01C8 4024  
0x01C8 4028  
0x01C8 402C  
USERINTMASKCLEAR MDIO User Command Complete Interrupt Mask Clear Register  
0x01C8 4030 - 0x01C8 407C  
0x01C8 4080  
Reserved  
USERACCESS0  
USERPHYSEL0  
USERACCESS1  
USERPHYSEL1  
MDIO User Access Register 0  
MDIO User PHY Select Register 0  
MDIO User Access Register 1  
MDIO User PHY Select Register 1  
Reserved  
0x01C8 4084  
0x01C8 4088  
0x01C8 408C  
0x01C8 4090 - 0x01C8 47FF  
Submit Documentation Feedback  
Peripheral Information and Electrical Specifications  
243  
 
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
6.17.2 Management Data Input/Output (MDIO) Electrical Data/Timing  
Table 6-74. Timing Requirements for MDIO Input (see Figure 6-43 and Figure 6-44)  
-7/-6/-5/-4  
-L/-Q6/-Q5/-Q4  
NO.  
UNIT  
MIN  
400  
180  
MAX  
1
2
3
4
5
tc(MDCLK)  
Cycle time, MDCLK  
ns  
ns  
ns  
ns  
ns  
tw(MDCLK)  
Pulse duration, MDCLK high/low  
tt(MDCLK)  
Transition time, MDCLK  
5
tsu(MDIO-MDCLKH)  
th(MDCLKH-MDIO)  
Setup time, MDIO data input valid before MDCLK high  
Hold time, MDIO data input valid after MDCLK high  
10  
10  
1
3
3
MDCLK  
4
5
MDIO  
(input)  
Figure 6-43. MDIO Input Timing  
Table 6-75. Switching Characteristics Over Recommended Operating Conditions for MDIO Output  
(see Figure 6-44)  
-7/-6/-5/-4  
-L/-Q6/-Q5/-Q4  
NO.  
UNIT  
MIN  
MAX  
7
td(MDCLKL-MDIO)  
Delay time, MDCLK low to MDIO data output valid  
100  
ns  
1
MDCLK  
7
MDIO  
(output)  
Figure 6-44. MDIO Output Timing  
6.18 Timers  
The DM6433 device has 3 64-bit general-purpose timers which have the following features:  
64-bit count-up counter  
Timer modes:  
64-bit general-purpose timer mode (Timer 0 and 1)  
Dual 32-bit general-purpose timer mode (Timer 0 and 1)  
Watchdog timer mode (Timer 2)  
2 possible clock sources:  
244  
Peripheral Information and Electrical Specifications  
Submit Documentation Feedback  
 
 
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
Internal clock  
External clock input via timer input pin TINPL (Timer 0 and 1 only)  
2 operation modes:  
One-time operation (timer runs for one period then stops)  
Continuous operation (timer automatically resets after each period)  
Generates interrupts to the DSP  
Generates sync event to EDMA  
Causes device global reset upon watchdog timer timeout (Timer 2 only)  
For more detailed information, see Section 2.9, Documentation Support for the TMS320DM643x DMP  
64-Bit Timer User's Guide (literature number SPRU989).  
6.18.1 Timer Peripheral Register Description(s)  
Table 6-76. Timer 0 Registers  
HEX ADDRESS RANGE  
0x01C2 1400  
ACRONYM  
DESCRIPTION  
-
Reserved  
0x01C2 1404  
EMUMGT_CLKSPD  
Timer 0 Emulation Management/Clock Speed Register  
Timer 0 Counter Register 12  
Timer 0 Counter Register 34  
Timer 0 Period Register 12  
Timer 0 Period Register 34  
Timer 0 Control Register  
0x01C2 1410  
TIM12  
TIM34  
PRD12  
PRD34  
TCR  
0x01C2 1414  
0x01C2 1418  
0x01C2 141C  
0x01C2 1420  
0x01C2 1424  
TGCR  
-
Timer 0 Global Control Register  
Reserved  
0x01C2 1428 - 0x01C2 17FF  
Table 6-77. Timer 1 Registers  
HEX ADDRESS RANGE  
0x01C2 1800  
ACRONYM  
DESCRIPTION  
-
Reserved  
0x01C2 1804  
EMUMGT_CLKSPD  
Timer 1 Emulation Management/Clock Speed Register  
Timer 1 Counter Register 12  
Timer 1 Counter Register 34  
Timer 1 Period Register 12  
Timer 1 Period Register 34  
Timer 1 Control Register  
0x01C2 1810  
TIM12  
TIM34  
PRD12  
PRD34  
TCR  
0x01C2 1814  
0x01C2 1818  
0x01C2 181C  
0x01C2 1820  
0x01C2 1824  
TGCR  
-
Timer 1 Global Control Register  
Reserved  
0x01C2 1828 - 0x01C2 1BFF  
Table 6-78. Timer 2 (Watchdog) Registers  
HEX ADDRESS RANGE  
0x01C2 1C00  
0x01C2 1C04  
0x01C2 1C10  
0x01C2 1C14  
0x01C2 1C18  
0x01C2 1C1C  
0x01C2 1C20  
0x01C2 1C24  
0x01C2 1C28  
ACRONYM  
DESCRIPTION  
-
EMUMGT_CLKSPD  
TIM12  
Reserved  
Timer 2 Emulation Management/Clock Speed Register  
Timer 2 Counter Register 12  
TIM34  
Timer 2 Counter Register 34  
PRD12  
Timer 2 Period Register 12  
PRD34  
Timer 2 Period Register 34  
TCR  
Timer 2 Control Register  
TGCR  
Timer 2 Global Control Register  
Timer 2 Watchdog Timer Control Register  
WDTCR  
Submit Documentation Feedback  
Peripheral Information and Electrical Specifications  
245  
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
Table 6-78. Timer 2 (Watchdog) Registers (continued)  
HEX ADDRESS RANGE  
ACRONYM  
DESCRIPTION  
0x01C2 1C2C - 0x01C2 1FFF  
-
Reserved  
6.18.2 Timer Electrical Data/Timing  
Table 6-79. Timing Requirements for Timer Input(1)(2)(3) (see Figure 6-45)  
-7/-6/-5/-4  
-L/-Q6/-Q5/-Q4  
NO.  
UNIT  
MIN  
MAX  
TINP0L, if TIMERCTL.TINP0SEL = 0  
[default]  
2P  
ns  
1
tw(TINPH)  
Pulse duration, TINPxL high  
Pulse duration, TINPxL low  
TINP0L, if TIMERCTL.TINP0SEL = 1  
TINP1L  
0.33P  
2P  
ns  
ns  
TINP0L, if TIMERCTL.TINP0SEL = 0  
[default]  
2P  
ns  
2
tw(TINPL)  
TINP0L, if TIMERCTL.TINP0SEL = 1  
TINP1L  
0.33P  
2P  
ns  
ns  
(1) P = MXI/CLKIN cycle time in ns. For example, when MXI/CLKIN frequency is 27 MHz, use P = 37.037 ns.  
(2) The TIMERCTL.TINP0SEL field in the System Module determines if the TINP0L input directly goes to Timer 0  
(TIMERCTL.TINP0SEL=0), or if the TINP0L input is first divided down by 6 before going to Timer 0 (TIMERCTL.TINP0SEL=1).  
(3) TINP1L input goes directly to Timer 1.  
Table 6-80. Switching Characteristics Over Recommended Operating Conditions for Timer Output(1) (see  
Figure 6-45)  
-7/-6/-5/-4  
-L/-Q6/-Q5/-Q4  
NO.  
UNIT  
MIN  
P
MAX  
3
4
tw(TOUTH)  
tw(TOUTL)  
Pulse duration, TOUTxL high  
Pulse duration, TOUTxL low  
ns  
ns  
P
(1) P = MXI/CLKIN cycle time in ns. For example, when MXI/CLKIN frequency is 27 MHz, use P = 37.037 ns.  
1
2
TINPxL  
3
4
TOUTxL  
Figure 6-45. Timer Timing  
246  
Peripheral Information and Electrical Specifications  
Submit Documentation Feedback  
 
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
6.19 Peripheral Component Interconnect (PCI)  
The DM6433 DMP supports connections to PCI-compliant devices via the integrated PCI master/slave bus  
interface. The PCI port interfaces to DSP internal resources via the data switched central resource. The  
data switched central resource is described in more detail in Section 4, System Interconnect.  
For more detailed information on the PCI port peripheral module, see the TMS320DM643x DMP  
Peripheral Component Interconnect (PCI) User's Guide (literature number SPRU985).  
6.19.1 PCI Device-Specific Information  
The PCI peripheral can act both as a PCI bus master and as a target. It supports PCI bus operation of  
speeds up to 33 MHz and uses a 32-bit data/address bus.  
On the DM6433 device, the pins of the PCI peripheral are multiplexed with the pins of the VPSS, EMIFA,  
GPIO, HPI, VLYNQ, and EMAC peripherals. For more detailed information on how to select PCI, see  
Section 3, Device Configurations.  
The DM6433 device provides an initialization mechanism through which the default values for some of the  
PCI configuration registers can be read from an I2C EEPROM. Table 6-81 shows the registers which can  
be initialized through the PCI auto-initialization. The default value of these registers when PCI  
auto-initialization is not used is also shown in Table 6-81. PCI auto-initialization is enabled by selecting  
PCI boot with auto-initialization. For information on how to select PCI boot with auto-initialization, see  
Section 3.4.1, Boot Modes. For more information on PCI auto-initialization, see the TMS320DM643x DMP  
Peripheral Component Interconnect (PCI) User's Guide (literature number SPRU985) and the Using the  
TMS320DM643x Bootloader Application Report (literature number SPRAAG0).  
The PCI peripheral is a master peripheral within the DM6433 DMP.  
Table 6-81. Default Values for PCI Configuration Registers  
REGISTER  
DEFAULT VALUE (HEX)  
104C  
Vendor ID  
Device ID  
B001  
11 8000  
01  
Class Code  
Revision ID  
System Vendor ID  
Subsystem ID  
Max Latency  
Min Grant  
0000  
0000  
00  
00  
Interrupt Pin  
Interrupt Line  
00  
00  
Submit Documentation Feedback  
Peripheral Information and Electrical Specifications  
247  
 
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
6.19.2 PCI Peripheral Register Description(s)  
Table 6-82. PCI Memory-Mapped Registers  
DSP ACCESS  
HEX ADDRESS RANGE  
ACRONYM  
DSP ACCESS REGISTER NAME  
01C1 A000 - 01C1 A00F  
01C1 A010  
-
Reserved  
PCISTATSET  
PCISTATCLR  
-
PCI Status Set Register  
01C1 A014  
PCI Status Clear Register  
Reserved  
01C1 A018 - 01C1 A01F  
01C1 A020  
PCIHINTSET  
PCIHINTCLR  
-
PCI Host Interrupt Enable Set Register  
PCI Host Interrupt Enable Clear Register  
Reserved  
01C1 A024  
01C1 A028 - 01C1 A02F  
01C1 A030  
PCIDINTSET  
PCIDINTCLR  
-
PCI DSP Interrupt Enable Set Register  
PCI DSP Interrupt Enable Clear Register  
Reserved  
01C1 A034  
01C1 A038 - 01C1 A0FF  
01C1 A100  
PCIVENDEVMIR PCI Vendor ID/Device ID Mirror Register  
PCICSRMIR PCI Command/Status Mirror Register  
PCICLREVMIR PCI Class Code/Revision ID Mirror Register  
01C1 A104  
01C1 A108  
01C1 A10C  
PCICLINEMIR  
PCIBAR0MSK  
PCIBAR1MSK  
PCIBAR2MSK  
PCIBAR3MSK  
PCIBAR4MSK  
PCIBAR5MSK  
-
PCI BIST/Header Type/Latency Timer/Cacheline Size Mirror Register  
01C1 A110  
PCI Base Address Mask Register 0  
PCI Base Address Mask Register 1  
PCI Base Address Mask Register 2  
PCI Base Address Mask Register 3  
PCI Base Address Mask Register 4  
PCI Base Address Mask Register 5  
Reserved  
01C1 A114  
01C1 A118  
01C1 A11C  
01C1 A120  
01C1 A124  
01C1 A128 - 01C1 A12B  
01C1 A12C  
PCISUBIDMIR  
-
PCI Subsystem Vendor ID/Subsystem ID Mirror Register  
Reserved  
01C1 A130  
01C1 A134  
PCICPBPTRMIR PCI Capabilities Pointer Mirror Register  
01C1 A138 - 01C1 A13B  
01C1 A13C  
-
Reserved  
PCILGINTMIR  
-
PCI Max Latency/Min Grant/Interrupt Pin/Interrupt Line Mirror Register  
Reserved  
01C1 A140 - 01C1 A17F  
01C1 A180  
PCISLVCNTL  
-
PCI Slave Control Register  
01C1 A184 - 01C1 A1BF  
01C1 A1C0  
Reserved  
PCIBAR0TRL  
PCIBAR1TRL  
PCIBAR2TRL  
PCIBAR3TRL  
PCIBAR4TRL  
PCIBAR5TRL  
-
PCI Slave Base Address 0 Translation Register  
PCI Slave Base Address 1 Translation Register  
PCI Slave Base Address 2 Translation Register  
PCI Slave Base Address 3 Translation Register  
PCI Slave Base Address 4 Translation Register  
PCI Slave Base Address 5 Translation Register  
Reserved  
01C1 A1C4  
01C1 A1C8  
01C1 A1CC  
01C1 A1D0  
01C1 A1D4  
01C1 A1D8 - 01C1 A1DF  
01C1 A1E0  
PCIBAR0MIR  
PCIBAR1MIR  
PCIBAR2MIR  
PCIBAR3MIR  
PCIBAR4MIR  
PCIBAR5MIR  
-
PCI Base Address Register 0 Mirror Register  
PCI Base Address Register 1 Mirror Register  
PCI Base Address Register 2 Mirror Register  
PCI Base Address Register 3 Mirror Register  
PCI Base Address Register 4 Mirror Register  
PCI Base Address Register 5 Mirror Register  
Reserved  
01C1 A1E4  
01C1 A1E8  
01C1 A1EC  
01C1 A1F0  
01C1 A1F4  
01C1 A1F8 - 01C1 A2FF  
01C1 A300  
PCIMCFGDAT PCI Master Configuration/IO Access Data Register  
248  
Peripheral Information and Electrical Specifications  
Submit Documentation Feedback  
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
Table 6-82. PCI Memory-Mapped Registers (continued)  
DSP ACCESS  
ACRONYM  
DSP ACCESS REGISTER NAME  
HEX ADDRESS RANGE  
01C1 A304  
01C1 A308  
01C1 A30C - 01C1 A30F  
01C1 A310  
01C1 A314  
01C1 A318  
01C1 A31C  
01C1 A320  
01C1 A324  
01C1 A328  
01C1 A32C  
01C1 A330  
01C1 A334  
01C1 A338  
01C1 A33C  
01C1 A340  
01C1 A344  
01C1 A348  
01C1 A34C  
01C1 A350  
01C1 A354  
01C1 A358  
01C1 A35C  
01C1 A360  
01C1 A364  
01C1 A368  
01C1 A36C  
01C1 A370  
01C1 A374  
01C1 A378  
01C1 A37C  
01C1 A380  
01C1 A384  
01C1 A388  
01C1 A38C  
01C1 A390  
PCIMCFGADR PCI Master Configuration/IO Access Address Register  
PCIMCFGCMD PCI Master Configuration/IO Access Command Register  
-
Reserved  
PCIMSTCFG  
PCIADDSUB0  
PCIADDSUB1  
PCIADDSUB2  
PCIADDSUB3  
PCIADDSUB4  
PCIADDSUB5  
PCIADDSUB6  
PCIADDSUB7  
PCIADDSUB8  
PCIADDSUB9  
PCI Master Configuration Register  
PCI Address Substitution 0 Register  
PCI Address Substitution 1 Register  
PCI Address Substitution 2 Register  
PCI Address Substitution 3 Register  
PCI Address Substitution 4 Register  
PCI Address Substitution 5 Register  
PCI Address Substitution 6 Register  
PCI Address Substitution 7 Register  
PCI Address Substitution 8 Register  
PCI Address Substitution 9 Register  
PCIADDSUB10 PCI Address Substitution 10 Register  
PCIADDSUB11 PCI Address Substitution 11 Register  
PCIADDSUB12 PCI Address Substitution 12 Register  
PCIADDSUB13 PCI Address Substitution 13 Register  
PCIADDSUB14 PCI Address Substitution 14 Register  
PCIADDSUB15 PCI Address Substitution 15 Register  
PCIADDSUB16 PCI Address Substitution 16 Register  
PCIADDSUB17 PCI Address Substitution 17 Register  
PCIADDSUB18 PCI Address Substitution 18 Register  
PCIADDSUB19 PCI Address Substitution 19 Register  
PCIADDSUB20 PCI Address Substitution 20 Register  
PCIADDSUB21 PCI Address Substitution 21 Register  
PCIADDSUB22 PCI Address Substitution 22 Register  
PCIADDSUB23 PCI Address Substitution 23 Register  
PCIADDSUB24 PCI Address Substitution 24 Register  
PCIADDSUB25 PCI Address Substitution 25 Register  
PCIADDSUB26 PCI Address Substitution 26 Register  
PCIADDSUB27 PCI Address Substitution 27 Register  
PCIADDSUB28 PCI Address Substitution 28 Register  
PCIADDSUB29 PCI Address Substitution 29 Register  
PCIADDSUB30 PCI Address Substitution 30 Register  
PCIADDSUB31 PCI Address Substitution 31 Register  
Submit Documentation Feedback  
Peripheral Information and Electrical Specifications  
249  
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
Table 6-83. PCI Hook Configuration Registers  
DSP ACCESS  
HEX ADDRESS RANGE  
ACRONYM  
DSP ACCESS REGISTER NAME  
01C1 A394  
01C1 A398  
PCIVENDEVPRG PCI Vendor ID and Device ID Program Register  
Reserved  
01C1 A39C  
PCICLREVPRG  
PCISUBIDPRG  
PCI Class Code and Revision ID Program Register  
PCI Subsystem Vendor ID and Subsystem ID Program Register  
01C1 A3A0  
01C1 A3A4  
PCIMAXLGPRG PCI Max Latency and Min Grant Program Register  
01C1 A3A8  
Reserved  
01C1 A3AC  
PCICFGDONE  
PCI Configuration Done Register  
Reserved  
01C1 A3B0 - 01C1 A7FF  
250  
Peripheral Information and Electrical Specifications  
Submit Documentation Feedback  
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
Table 6-84. PCI External Memory Space  
HEX ADDRESS RANGE  
ACRONYM  
PCI MASTER WINDOW  
3000 0000 - 307F FFFF  
3080 0000 - 30FF FFFF  
3100 0000 - 317F FFFF  
3180 0000 - 31FF FFFF  
3200 0000 - 327F FFFF  
3280 0000 - 32FF FFFF  
3300 0000 - 337F FFFF  
3380 0000 - 33FF FFFF  
3400 0000 - 347F FFFF  
3480 0000 - 34FF FFFF  
3500 0000 - 357F FFFF  
3580 0000 - 35FF FFFF  
3600 0000 - 367F FFFF  
3680 0000 - 36FF FFFF  
3700 0000 - 377F FFFF  
3780 0000 - 37FF FFFF  
3800 0000 - 387F FFFF  
3880 0000 - 38FF FFFF  
3900 0000 - 397F FFFF  
3980 0000 - 39FF FFFF  
3A00 0000 - 3A7F FFFF  
3A80 0000 - 3AFF FFFF  
3B00 0000 - 3B7F FFFF  
3B80 0000 - 3BFF FFFF  
3C00 0000 - 3C7F FFFF  
3C80 0000 - 3CFF FFFF  
3D00 0000 - 3D7F FFFF  
3D80 0000 - 3DFF FFFF  
3E00 0000 - 3E7F FFFF  
3E80 0000 - 3EFF FFFF  
3F00 0000 - 3F7F FFFF  
3F80 0000 - 3FFF FFFF  
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
PCI Master Window 0  
PCI Master Window 1  
PCI Master Window 2  
PCI Master Window 3  
PCI Master Window 4  
PCI Master Window 5  
PCI Master Window 6  
PCI Master Window 7  
PCI Master Window 8  
PCI Master Window 9  
PCI Master Window 10  
PCI Master Window 11  
PCI Master Window 12  
PCI Master Window 13  
PCI Master Window 14  
PCI Master Window 15  
PCI Master Window 16  
PCI Master Window 17  
PCI Master Window 18  
PCI Master Window 19  
PCI Master Window 20  
PCI Master Window 21  
PCI Master Window 22  
PCI Master Window 23  
PCI Master Window 24  
PCI Master Window 25  
PCI Master Window 26  
PCI Master Window 27  
PCI Master Window 28  
PCI Master Window 29  
PCI Master Window 30  
PCI Master Window 31  
Submit Documentation Feedback  
Peripheral Information and Electrical Specifications  
251  
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
6.19.3 PCI Electrical Data/Timing  
Texas Instruments (TI) has performed the simulation and system characterization to ensure that the PCI  
peripheral meets all AC timing specifications as required by the PCI Local Bus Specification Revision 2.3.  
Therefore, the AC timing specifications are not reproduced here. For more information on the AC timing  
specifications, see Section 4.2.3, Timing Specification (33-MHz timing) of the PCI Local Bus Specification  
Revision 2.3. Note: The DM6433 PCI peripheral only supports 3.3-V signaling and 33-MHz operation.  
252  
Peripheral Information and Electrical Specifications  
Submit Documentation Feedback  
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
6.20 Pulse Width Modulator (PWM)  
The 3 DM6433 Pulse Width Modulator (PWM) peripherals support the following features:  
Period counter  
First-phase duration counter  
Repeat count for one-shot operation  
Configurable to operate in either one-shot or continuous mode  
Buffered period and first-phase duration registers  
One-shot operation triggerable by hardware events with programmable edge transitions. (low-to-high or  
high-to-low).  
One-shot operation generates N+1 periods of waveform, N being the repeat count register value  
Emulation support  
The register memory maps for PWM0/1/2 are shown in Table 6-85, Table 6-86, and Table 6-87.  
Table 6-85. PWM0 Register Memory Map  
HEX ADDRESS RANGE  
0x01C2 2000  
ACRONYM  
REGISTER NAME  
Reserved  
0x01C2 2004  
PCR  
CFG  
START  
RPT  
PER  
PH1D  
-
PWM0 Peripheral Control Register  
PWM0 Configuration Register  
PWM0 Start Register  
0x01C2 2008  
0x01C2 200C  
0x01C2 2010  
PWM0 Repeat Count Register  
PWM0 Period Register  
0x01C2 2014  
0x01C2 2018  
PWM0 First-Phase Duration Register  
Reserved  
0x01C2 201C - 0x01C2 23FF  
Table 6-86. PWM1 Register Memory Map  
HEX ADDRESS RANGE  
0x01C2 2400  
ACRONYM  
REGISTER NAME  
Reserved  
0x01C2 2404  
PCR  
CFG  
START  
RPT  
PER  
PH1D  
-
PWM1 Peripheral Control Register  
0x01C2 2408  
PWM1 Configuration Register  
PWM1 Start Register  
0x01C2 240C  
0x01C2 2410  
PWM1 Repeat Count Register  
PWM1 Period Register  
PWM1 First-Phase Duration Register  
Reserved  
0x01C2 2414  
0x01C2 2418  
0x01C2 241C -0x01C2 27FF  
Table 6-87. PWM2 Register Memory Map  
HEX ADDRESS RANGE  
0x01C2 2800  
ACRONYM  
REGISTER NAME  
Reserved  
0x01C2 2804  
PCR  
CFG  
START  
RPT  
PER  
PH1D  
-
PWM2 Peripheral Control Register  
PWM2 Configuration Register  
PWM2 Start Register  
0x01C2 2808  
0x01C2 280C  
0x01C2 2810  
PWM2 Repeat Count Register  
PWM2 Period Register  
0x01C2 2814  
0x01C2 2818  
PWM2 First-Phase Duration Register  
Reserved  
0x01C2 281C - 0x01C2 2BFF  
Submit Documentation Feedback  
Peripheral Information and Electrical Specifications  
253  
 
 
 
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
6.20.1 PWM0/1/2 Electrical Data/Timing  
Table 6-88. Switching Characteristics Over Recommended Operating Conditions for PWM0/1/2 Outputs  
(see Figure 6-46)  
-7/-6/-5/-4  
-L/-Q6/-Q5/-Q4  
NO.  
PARAMETER  
UNIT  
MIN  
37  
MAX  
1
2
3
tw(PWMH)  
tw(PWML)  
tt(PWM)  
Pulse duration, PWMx high  
ns  
ns  
ns  
Pulse duration, PWMx low  
Transition time, PWMx  
37  
5
1
2
PWM0/1/2  
3
3
Figure 6-46. PWM Output Timing  
254  
Peripheral Information and Electrical Specifications  
Submit Documentation Feedback  
 
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
6.21 VLYNQ  
The DM6433 VLYNQ peripheral provides a high speed serial communications interface with the following  
features.  
Low Pin Count  
Scalable Performance / Support  
Simple Packet Based Transfer Protocol for Memory Mapped Access  
Write Request / Data Packet  
Read Request Packet  
Read Response Data Packet  
Interrupt Request Packet  
Supports both Symmetric and Asymmetric Operation  
Tx pins on first device connect to Rx pins on second device and vice versa  
Data pin widths are automatically detected after reset  
Request packets, response packets, and flow control information are all multiplexed and sent  
across the same physical pins  
Supports both Host/Peripheral and Peer to Peer communication  
Simple Block Code Packet Formatting (8b/10b)  
In Band Flow Control  
No extra pins needed  
Allows receiver to momentarily throttle back transmitter when overflow is about to occur  
Uses built in special code capability of block code to seamlessly interleave flow control information  
with user data  
Allows system designer to balance cost of data buffering versus performance  
Multiple outstanding transactions  
Automatic packet formatting optimizations  
Internal loop-back mode  
6.21.1 VLYNQ Peripheral Register Description(s)  
Table 6-89. VLYNQ Registers  
HEX ADDRESS RANGE  
0x01E0 1000  
0x01E0 1004  
0x01E0 1008  
0x01E0 100C  
0x01E0 1010  
0x01E0 1014  
0x01E0 1018  
0x01E0 101C  
0x01E0 1020  
0x01E0 1024  
0x01E0 1028  
0x01E0 102C  
0x01E0 1030  
0x01E0 1034  
0x01E0 1038  
0x01E0 103C  
ACRONYM  
REGISTER NAME  
-
CTRL  
Reserved  
VLYNQ Local Control Register  
VLYNQ Local Status Register  
STAT  
INTPRI  
VLYNQ Local Interrupt Priority Vector Status/Clear Register  
VLYNQ Local Unmasked Interrupt Status/Clear Register  
VLYNQ Local Interrupt Pending/Set Register  
INTSTATCLR  
INTPENDSET  
INTPTR  
XAM  
VLYNQ Local Interrupt Pointer Register  
VLYNQ Local Transmit Address Map Register  
RAMS1  
RAMO1  
RAMS2  
RAMO2  
RAMS3  
RAMO3  
RAMS4  
RAMO4  
VLYNQ Local Receive Address Map Size 1 Register  
VLYNQ Local Receive Address Map Offset 1 Register  
VLYNQ Local Receive Address Map Size 2 Register  
VLYNQ Local Receive Address Map Offset 2 Register  
VLYNQ Local Receive Address Map Size 3 Register  
VLYNQ Local Receive Address Map Offset 3 Register  
VLYNQ Local Receive Address Map Size 4 Register  
VLYNQ Local Receive Address Map Offset 4 Register  
Submit Documentation Feedback  
Peripheral Information and Electrical Specifications  
255  
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
Table 6-89. VLYNQ Registers (continued)  
HEX ADDRESS RANGE  
0x01E0 1040  
ACRONYM  
REGISTER NAME  
VLYNQ Local Chip Version Register  
CHIPVER  
0x01E0 1044  
AUTNGO  
VLYNQ Local Auto Negotiation Register  
0x01E0 1048  
-
Reserved  
0x01E0 104C  
-
Reserved  
0x01E0 1050 - 0x01E0 105C  
0x01E0 1060  
-
Reserved  
-
Reserved  
01E0 10C00 0064  
0x01E0 1068 - 0x01E0 107C  
0x01E0 1080  
-
Reserved  
-
Reserved for future use  
RREVID  
RCTRL  
RSTAT  
RINTPRI  
VLYNQ Remote Revision Register  
VLYNQ Remote Control Register  
VLYNQ Remote Status Register  
VLYNQ Remote Interrupt Priority Vector Status/Clear Register  
0x01E0 1084  
0x01E0 1088  
0x01E0 108C  
0x01E0 1090  
RINTSTATCLR VLYNQ Remote Unmasked Interrupt Status/Clear Register  
RINTPENDSET VLYNQ Remote Interrupt Pending/Set Register  
0x01E0 1094  
0x01E0 1098  
RINTPTR  
RXAM  
VLYNQ Remote Interrupt Pointer Register  
0x01E0 109C  
VLYNQ Remote Transmit Address Map Register  
0x01E0 10A0  
RRAMS1  
RRAMO1  
RRAMS2  
RRAMO2  
RRAMS3  
RRAMO3  
RRAMS4  
RRAMO4  
VLYNQ Remote Receive Address Map Size 1 Register  
VLYNQ Remote Receive Address Map Offset 1 Register  
VLYNQ Remote Receive Address Map Size 2 Register  
VLYNQ Remote Receive Address Map Offset 2 Register  
VLYNQ Remote Receive Address Map Size 3 Register  
VLYNQ Remote Receive Address Map Offset 3 Register  
VLYNQ Remote Receive Address Map Size 4 Register  
VLYNQ Remote Receive Address Map Offset 4 Register  
0x01E0 10A4  
0x01E0 10A8  
0x01E0 10AC  
0x01E0 10B0  
0x01E0 10B4  
0x01E0 10B8  
0x01E0 10BC  
VLYNQ Remote Chip Version Register (values on the device_id and  
device_rev pins of remote VLYNQ)  
0x01E0 10C0  
RCHIPVER  
0x01E0 10C4  
0x01E0 10C8  
RAUTNGO  
RMANNGO  
RNGOSTAT  
-
VLYNQ Remote Auto Negotiation Register  
VLYNQ Remote Manual Negotiation Register  
VLYNQ Remote Negotiation Status Register  
Reserved  
0x01E0 10CC  
0x01E0 10D0 - 0x01E0 10DC  
VLYNQ Remote Interrupt Vectors 3 - 0 (sourced from vlynq_int_i[3:0] port of  
remote VLYNQ)  
0x01E0 10E0  
0x01E0 10E4  
RINTVEC0  
RINTVEC1  
VLYNQ Remote Interrupt Vectors 7 - 4 (sourced from vlynq_int_i[7:4] port of  
remote VLYNQ)  
0x01E0 10E8 - 0x01E0 10FC  
0x01E0 1100 - 0x01E0 1FFF  
-
-
Reserved for future use  
Reserved  
256  
Peripheral Information and Electrical Specifications  
Submit Documentation Feedback  
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
6.21.2 VLYNQ Electrical Data/Timing  
Table 6-90. Timing Requirements for VLYNQ_CLK Input (see Figure 6-47)  
-7/-6/-5/-4  
-L/-Q6/-Q5/-Q4  
NO.  
UNIT  
MIN  
10  
3
MAX  
1
2
3
tc(VCLK)  
Cycle time, VLYNQ_CLK  
ns  
ns  
ns  
tw(VCLKH)  
tw(VCLKL)  
Pulse duration, VLYNQ_CLK high  
Pulse duration, VLYNQ_CLK low  
3
Table 6-91. Switching Characteristics Over Recommended Operating Conditions for VLYNQ_CLK Output  
(see Figure 6-47)  
-7/-6/-5/-4  
-L/-Q6/-Q5/-Q4  
NO.  
PARAMETER  
UNIT  
MIN  
10  
4
MAX  
1
2
3
tc(VCLK)  
Cycle time, VLYNQ_CLK  
ns  
ns  
ns  
tw(VCLKH)  
tw(VCLKL)  
Pulse duration, VLYNQ_CLK high  
Pulse duration, VLYNQ_CLK low  
4
1
2
VLYNQ_CLK  
3
Figure 6-47. VLYNQ_CLK Timing for VLYNQ  
Table 6-92. Switching Characteristics Over Recommended Operating Conditions for Transmit Data for the  
VLYNQ Module (see Figure 6-48)  
-7/-6/-5/-4  
-L/-Q6/-Q5/-Q4  
NO.  
PARAMETER  
UNIT  
MIN  
MAX  
td(VCLKH-  
TXDI)  
1
2
Delay time, VLYNQ_CLK high to VLYNQ_TXD[3:0] invalid  
Delay time, VLYNQ_CLK high to VLYNQ_TXD[3:0] valid  
2.25  
ns  
ns  
td(VCLKH-  
TXDV)  
12  
Submit Documentation Feedback  
Peripheral Information and Electrical Specifications  
257  
 
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
Table 6-93. Timing Requirements for Receive Data for the VLYNQ Module(1) (see Figure 6-48)  
-7/-6/-5/-4  
-L/-Q6/-Q5/-Q4  
NO.  
UNIT  
MIN  
MAX  
RTM disabled, RTM sample = 3  
RTM enabled  
1.75  
(1)  
ns  
ns  
ns  
ns  
Setup time, VLYNQ_RXD[3:0] valid before  
VLYNQ_CLK high  
3
4
tsu(RXDV-VCLKH)  
RTM disabled, RTM sample = 3  
RTM enabled  
3
(1)  
Hold time, VLYNQ_RXD[3:0] valid after  
VLYNQ_CLK high  
th(VCLKH-RXDV)  
(1) The VLYNQ receive timing manager (RTM) is a serial receive logic designed to eliminate setup and hold violations that could occur in  
traditional input signals. RTM logic automatically selects the setup and hold timing from one of eight data flops (see Table 6-94). When  
RTM logic is disabled, the setup and hold timing from the default data flop (3) is used.  
Table 6-94. RTM RX Data Flop Hold/Setup Timing  
Constraints (Typical Values)  
RX Data Flop  
HOLD (Y)  
1.3  
SETUP (X)  
0.9  
0
1
2
3
4
5
6
7
1.4  
0.7  
1.5  
-0.4  
1.6  
-0.6  
1.8  
-0.8  
2.0  
-1.0  
2.2  
-1.1  
2.4  
-1.2  
1
VLYNQ_CLK  
2
Data  
Data  
VLYNQ_TXD[3:0]  
VLYNQ_RXD[3:0]  
4
3
Figure 6-48. VLYNQ Transmit/Receive Timing  
258  
Peripheral Information and Electrical Specifications  
Submit Documentation Feedback  
 
 
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
6.22 General-Purpose Input/Output (GPIO)  
The GPIO peripheral provides general-purpose pins that can be configured as either inputs or outputs.  
When configured as an output, a write to an internal register can control the state driven on the output pin.  
When configured as an input, the state of the input is detectable by reading the state of an internal  
register. In addition, the GPIO peripheral can produce CPU interrupts and EDMA events in different  
interrupt/event generation modes. The GPIO peripheral provides generic connections to external devices.  
The GPIO pins are grouped into banks of 16 pins per bank (i.e., bank 0 consists of GP[0:15]).  
The DM6433 GPIO peripheral supports the following:  
Up to 111 3.3-V GPIO pins, GP[0:110]  
Interrupts:  
Up to 8 unique GP[0:7] interrupts from Bank 0  
7 GPIO bank (aggregated) interrupt signals from each of the 7 banks of GPIOs  
Interrupts can be triggered by rising and/or falling edge, specified for each interrupt capable GPIO  
signal  
DMA events:  
Up to 8 unique GPIO DMA events from Bank 0  
7 GPIO bank (aggregated) DMA event signals from each of the 7 banks of GPIOs  
Set/clear functionality: Firmware writes 1 to corresponding bit position(s) to set or to clear GPIO  
signal(s). This allows multiple firmware processes to toggle GPIO output signals without critical section  
protection (disable interrupts, program GPIO, re-enable interrupts, to prevent context switching to  
anther process during GPIO programming).  
Separate Input/Output registers  
Output register in addition to set/clear so that, if preferred by firmware, some GPIO output signals can  
be toggled by direct write to the output register(s).  
Output register, when read, reflects output drive status. This, in addition to the input register reflecting  
pin status and open-drain I/O cell, allows wired logic be implemented.  
The memory map for the GPIO registers is shown in Table 6-95. For more detailed information on GPIOs,  
see the TMS320DM643x DMP General-Purpose Input/Output (GPIO) User's Guide (literature number  
SPRU988).  
Submit Documentation Feedback  
Peripheral Information and Electrical Specifications  
259  
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
6.22.1 GPIO Peripheral Register Description(s)  
Table 6-95. GPIO Registers  
HEX ADDRESS RANGE  
0x01C6 7000  
ACRONYM  
REGISTER NAME  
PID  
-
Peripheral Identification Register  
Reserved  
0x01C6 7004  
0x01C6 7008  
BINTEN  
GPIO interrupt per-bank enable  
GPIO Banks 0 and 1  
0x01C6 700C  
0x01C6 7010  
0x01C6 7014  
0x01C6 7018  
0x01C6 701C  
0x01C6 7020  
0x01C6 7024  
0x01C6 7028  
0x01C6 702C  
0x01C6 7030  
0x01C6 7034  
-
Reserved  
DIR01  
GPIO Banks 0 and 1 Direction Register (GP[0:31])  
GPIO Banks 0 and 1 Output Data Register (GP[0:31])  
GPIO Banks 0 and 1 Set Data Register (GP[0:31])  
GPIO Banks 0 and 1 Clear data for banks 0 and 1 (GP[0:31])  
GPIO Banks 0 and 1 Input Data Register (GP[0:31])  
OUT_DATA01  
SET_DATA01  
CLR_DATA01  
IN_DATA01  
SET_RIS_TRIG01 GPIO Banks 0 and 1 Set Rising Edge Interrupt Register (GP[0:31])  
CLR_RIS_TRIG01 GPIO Banks 0 and 1 Clear Rising Edge Interrupt Register (GP[0:31])  
SET_FAL_TRIG01 GPIO Banks 0 and 1 Set Falling Edge Interrupt Register (GP[0:31])  
CLR_FAL_TRIG01 GPIO Banks 0 and 1 Clear Falling Edge Interrupt Register (GP[0:31])  
INSTAT01  
GPIO Banks 0 and 1 Interrupt Status Register (GP[0:31])  
GPIO Banks 2 and 3  
0x01C6 7038  
0x01C6 703C  
0x01C6 7040  
0x01C6 7044  
0x01C6 7048  
0x01C6 704C  
0x01C6 7050  
0x01C6 7054  
0x01C6 7058  
0x01C6 705C  
DIR23  
GPIO Banks 2 and 3 Direction Register (GP[32:63])  
GPIO Banks 2 and 3 Output Data Register (GP[32:63])  
GPIO Banks 2 and 3 Set Data Register (GP[32:63])  
GPIO Banks 2 and 3 Clear Data Register (GP[32:63])  
GPIO Banks 2 and 3 Input Data Register (GP[32:63])  
OUT_DATA23  
SET_DATA23  
CLR_DATA23  
IN_DATA23  
SET_RIS_TRIG23 GPIO Banks 2 and 3 Set Rising Edge Interrupt Register (GP[32:63])  
CLR_RIS_TRIG23 GPIO Banks 2 and 3 Clear Rising Edge Interrupt Register (GP[32:63])  
SET_FAL_TRIG23 GPIO Banks 2 and 3 Set Falling Edge Interrupt Register (GP[32:63])  
CLR_FAL_TRIG23 GPIO Banks 2 and 3 Clear Falling Edge Interrupt Register (GP[32:63])  
INSTAT23  
GPIO Banks 2 and 3 Interrupt Status Register (GP[32:63])  
GPIO Bank 4 and 5  
0x01C6 7060  
0x01C6 7064  
0x01C6 7068  
0x01C6 706C  
0x01C6 7070  
0x01C6 7074  
0x01C6 7078  
0x01C6 707C  
0x01C6 7080  
0x01C6 7084  
DIR45  
GPIO Bank 4 and 5 Direction Register (GP[64:95])  
GPIO Bank 4 and 5 Output Data Register (GP[64:95])  
GPIO Bank 4 and 5 Set Data Register (GP[64:95])  
GPIO Bank 4 and 5 Clear Data Register (GP[64:95])  
GPIO Bank 4 and 5 Input Data Register (GP[64:95])  
OUT_DATA45  
SET_DATA45  
CLR_DATA45  
IN_DATA45  
SET_RIS_TRIG45 GPIO Bank 4 and 5 Set Rising Edge Interrupt Register (GP[64:95])  
CLR_RIS_TRIG45 GPIO Bank 4 and 5 Clear Rising Edge Interrupt Register (GP[64:95])  
SET_FAL_TRIG45 GPIO Bank 4 and 5 Set Falling Edge Interrupt Register (GP[64:95])  
CLR_FAL_TRIG45 GPIO Bank 4 and 5 Clear Falling Edge Interrupt Register (GP[64:95])  
INSTAT45  
GPIO Bank 4 and 5 Interrupt Status Register (GP[64:95])  
GPIO Bank 6  
0x01C6 7088  
0x01C6 708C  
0x01C6 7090  
0x01C6 7094  
0x01C6 7098  
0x01C6 709C  
0x01C6 70A0  
DIR6  
GPIO Bank 6 Direction Register (GP[96:110])  
GPIO Bank 6 Output Data Register (GP[96:110])  
GPIO Bank 6 Set Data Register (GP[96:110])  
GPIO Bank 6 Clear Data Register (GP[96:110])  
GPIO Bank 6 Input Data Register (GP[96:110])  
GPIO Bank 6 Set Rising Edge Interrupt Register (GP[96:110])  
GPIO Bank 6 Clear Rising Edge Interrupt Register (GP[96:110])  
OUT_DATA6  
SET_DATA6  
CLR_DATA6  
IN_DATA6  
SET_RIS_TRIG6  
CLR_RIS_TRIG6  
260  
Peripheral Information and Electrical Specifications  
Submit Documentation Feedback  
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
Table 6-95. GPIO Registers (continued)  
HEX ADDRESS RANGE  
ACRONYM  
REGISTER NAME  
0x01C6 70A4  
0x01C6 70A8  
SET_FAL_TRIG6  
GPIO Bank 6 Set Falling Edge Interrupt Register (GP[96:110])  
CLR_FAL_TRIG6 GPIO Bank 6 Clear Falling Edge Interrupt Register (GP[96:110])  
0x01C6 70AC  
INSTAT6  
-
GPIO Bank 6 Interrupt Status Register (GP[96:110])  
Reserved  
0x01C6 70B0 - 0x01C6 7FFF  
Submit Documentation Feedback  
Peripheral Information and Electrical Specifications  
261  
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
6.22.2 GPIO Peripheral Input/Output Electrical Data/Timing  
Table 6-96. Timing Requirements for GPIO Inputs(1) (see Figure 6-49)  
-7/-6/-5/-4  
-L/-Q6/-Q5/-Q4  
NO.  
UNIT  
MIN  
2C(2)  
2C(2)  
MAX  
1
2
tw(GPIH)  
tw(GPIL)  
Pulse duration, GP[x] input high  
Pulse duration, GP[x] input low  
ns  
ns  
(1) The pulse width given is sufficient to generate a CPU interrupt or an EDMA event. However, if a user wants to have DM6433 recognize  
the GP[x] input changes through software polling of the GPIO register, the GP[x] input duration must be extended to allow DM6433  
enough time to access the GPIO register through the internal bus.  
(2) C = SYSCLK3 period in ns. For example, when running parts at 600 MHz, use C = 10ns.  
Table 6-97. Switching Characteristics Over Recommended Operating Conditions for GPIO Outputs  
(see Figure 6-49)  
-7/-6/-5/-4  
-L/-Q6/-Q5/-Q4  
NO.  
PARAMETER  
UNIT  
MIN  
2C(1)(2)  
2C(1)(2)  
MAX  
3
4
tw(GPOH)  
tw(GPOL)  
Pulse duration, GP[x] output high  
Pulse duration, GP[x] output low  
ns  
ns  
(1) This parameter value should not be used as a maximum performance specification. Actual performance of back-to-back accesses of the  
GPIO is dependent upon internal bus activity.  
(2) C = SYSCLK3 period in ns. For example, when running parts at 600 MHz, use C = 10ns.  
2
1
GP[x]  
Input  
4
3
GP[x]  
Output  
Figure 6-49. GPIO Port Timing  
262  
Peripheral Information and Electrical Specifications  
Submit Documentation Feedback  
 
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
6.23 IEEE 1149.1 JTAG  
The JTAG(3) interface is used for BSDL testing and emulation of the DM6433 device.  
TRST only needs to be released when it is necessary to use a JTAG controller to debug the device or  
exercise the device's boundary scan functionality. Note: TRST is synchronous and must be clocked by  
TCK; otherwise, the boundary scan logic may not respond as expected after TRST is asserted.  
For maximum reliability, DM6433 includes an internal pulldown (IPD) on the TRST pin to ensure that  
TRST will always be asserted upon power up and the device's internal emulation logic will always be  
properly initialized.  
JTAG controllers from Texas Instruments actively drive TRST high. However, some third-party JTAG  
controllers may not drive TRST high but expect the use of a pullup resistor on TRST.  
When using this type of JTAG controller, assert TRST to initialize the device after powerup and externally  
drive TRST high before attempting any emulation or boundary scan operations.  
6.23.1 JTAG ID (JTAGID) Register Description(s)  
(3) IEEE Standard 1149.1-1990 Standard-Test-Access Port and Boundary Scan Architecture.  
Table 6-98. JTAG ID (JTAGID) Register  
HEX ADDRESS RANGE  
ACRONYM  
REGISTER NAME  
COMMENTS  
Read-only. Provides 32-bit  
JTAG ID of the device.  
0x01C4 0028  
JTAGID  
JTAG Identification Register  
The JTAG ID register is a read-only register that identifies to the customer the JTAG/Device ID. For the  
DM6433 device, the JTAG ID register resides at address location 0x01C4 0028. For the actual register bit  
names and their associated bit field descriptions, see Figure 6-50 and Table 6-99.  
31-28  
VARIANT (4-Bit)  
R-n  
27-12  
11-1  
0
PART NUMBER (16-Bit)  
R-1011 0111 0010 0001  
MANUFACTURER (11-Bit)  
R-0000 0010 111  
LSB  
R-1  
LEGEND: R = Read, W = Write, n = value at reset  
Figure 6-50. JTAG ID (JTAGID) Register—0x01C4 0028  
Table 6-99. JTAG ID (JTAGID) Register Selection Bit Descriptions  
BIT  
31:28  
27:12  
11-1  
0
NAME  
DESCRIPTION  
Variant (4-Bit) value. A read from this field always returns 0b0000.  
Part Number (16-Bit) value. DM6433 value: 1011 0111 0010 0001.  
VARIANT  
PART NUMBER  
MANUFACTURER Manufacturer (11-Bit) value. DM6433 value: 0000 0010 111.  
LSB LSB. This bit is read as a "1" for DM6433.  
Submit Documentation Feedback  
Peripheral Information and Electrical Specifications  
263  
 
 
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
6.23.2 JTAG Electrical Data/Timing  
Table 6-100. Timing Requirements for JTAG Test Port (see Figure 6-51)  
-7/-6/-5/-4  
-L/-Q6/-Q5/-Q4  
NO.  
UNIT  
MIN  
33  
MAX  
1
3
4
tc(TCK)  
Cycle time, TCK  
ns  
ns  
ns  
tsu(TDIV-TCKH)  
th(TCKH-TDIV)  
Setup time, TDI/TMS/TRST valid before TCK high  
Hold time, TDI/TMS/TRST valid after TCK high  
2.5  
16.5  
Table 6-101. Switching Characteristics Over Recommended Operating Conditions for JTAG Test Port  
(see Figure 6-51)  
-7/-6/-5/-4  
-L/-Q6/-Q5/-Q4  
NO.  
PARAMETER  
UNIT  
MIN  
MAX  
14  
2
td(TCKL-TDOV)  
Delay time, TCK low to TDO valid  
0
ns  
1
TCK  
TDO  
2
2
4
3
TDI/TMS/TRST  
Figure 6-51. JTAG Test-Port Timing  
264  
Peripheral Information and Electrical Specifications  
Submit Documentation Feedback  
 
TMS320DM6433  
Digital Media Processor  
www.ti.com  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
7 Mechanical Data  
The following table(s) show the thermal resistance characteristics for the PBGA–ZWT and ZDU  
mechanical package(s). For more details, see the Thermal Considerations for TMS320DM64xx,  
TMS320DM64x, and TMS320C6000 Devices Application Report (literature number SPRAAL9).  
7.1 Thermal Data for ZWT  
Table 7-1. Thermal Resistance Characteristics (PBGA Package) [ZWT]  
NO.  
1
°C/W(1)  
AIR FLOW (m/s)(2)  
RΘJC  
RΘJB  
Junction-to-case  
Junction-to-board  
5.4  
N/A  
N/A  
0.00  
1.0  
2
16.0  
26.6  
21.9  
20.4  
0.0  
3
4
RΘJA  
PsiJT  
PsiJB  
Junction-to-free air  
Junction-to-package top  
Junction-to-board  
5
2.00  
0.00  
1.0  
7
8
0.1  
9
0.2  
2.00  
0.00  
1.0  
11  
12  
13  
15.9  
15.8  
15.3  
2.00  
(1) The junction-to-case measurement was conducted in a JEDEC defined 1S0P system. Other measurements were conducted in a JEDEC  
defined 1S2P system and will change based on environment as well as application.  
For more information, see these three EIA/JEDEC standards:  
EIA/JESD51-2, Integrated Circuits Thermal Test Method Environment Conditions - Natural Convection (Still Air)  
EIA/JESD51-3, Low Effective Thermal Conductivity Test Board for Leaded Surface Mount Packages  
JESD51-7, High Effective Thermal Conductivity Test Board for Leaded Surface Mount Packages  
.
(2) m/s = meters per second  
Submit Documentation Feedback  
Mechanical Data  
265  
TMS320DM6433  
Digital Media Processor  
SPRS343CNOVEMBER 2006REVISED JUNE 2008  
www.ti.com  
7.1.1 Thermal Data for ZDU  
Table 7-2. Thermal Resistance Characteristics (PBGA Package) [ZDU]  
NO.  
1
°C/W(1)  
AIR FLOW (m/s)(2)  
RΘJC  
RΘJB  
Junction-to-case  
Junction-to-board  
7.7  
N/A  
N/A  
0.00  
1.0  
2
10.5  
19.7  
15.5  
14.3  
4.9  
3
4
RΘJA  
PsiJT  
PsiJB  
Junction-to-free air  
Junction-to-package top  
Junction-to-board  
5
2.00  
0.00  
1.0  
7
8
5.1  
9
5.2  
2.00  
0.00  
1.0  
11  
12  
13  
10.4  
9.8  
9.6  
2.00  
(1) The junction-to-case measurement was conducted in a JEDEC defined 1S0P system. Other measurements were conducted in a JEDEC  
defined 1S2P system and will change based on environment as well as application.  
For more information, see these three EIA/JEDEC standards:  
EIA/JESD51-2, Integrated Circuits Thermal Test Method Environment Conditions - Natural Convection (Still Air)  
EIA/JESD51-3, Low Effective Thermal Conductivity Test Board for Leaded Surface Mount Packages  
JESD51-7, High Effective Thermal Conductivity Test Board for Leaded Surface Mount Packages  
(2) m/s = meters per second  
7.1.2 Packaging Information  
The following packaging information and addendum reflect the most current data available for the  
designated device(s). This data is subject to change without notice and without revision of this document.  
266  
Mechanical Data  
Submit Documentation Feedback  
PACKAGE OPTION ADDENDUM  
www.ti.com  
14-Jul-2011  
PACKAGING INFORMATION  
Status (1)  
Eco Plan (2)  
MSL Peak Temp (3)  
Samples  
Orderable Device  
Package Type Package  
Drawing  
Pins  
Package Qty  
Lead/  
Ball Finish  
(Requires Login)  
TMS320DM6433ZDU4  
TMS320DM6433ZDU6  
TMS320DM6433ZDU7  
TMS320DM6433ZDUL  
TMS320DM6433ZDUQ6  
ACTIVE  
ACTIVE  
ACTIVE  
ACTIVE  
ACTIVE  
BGA  
BGA  
BGA  
BGA  
BGA  
ZDU  
ZDU  
ZDU  
ZDU  
ZDU  
376  
376  
376  
376  
376  
60  
60  
60  
60  
60  
Green (RoHS  
& no Sb/Br)  
Call TI  
Level-3-260C-168 HR  
Level-3-260C-168 HR  
Green (RoHS  
& no Sb/Br)  
Call TI  
Green (RoHS  
& no Sb/Br)  
SNAGCU Level-3-260C-168 HR  
SNAGCU Level-3-260C-168 HR  
SNAGCU Level-3-260C-168 HR  
Green (RoHS  
& no Sb/Br)  
Green (RoHS  
& no Sb/Br)  
TMS320DM6433ZWT4  
TMS320DM6433ZWT5  
TMS320DM6433ZWT6  
TMS320DM6433ZWT7  
TMS320DM6433ZWTL  
TMS320DM6433ZWTQ5  
TMS320DM6433ZWTQ6  
ACTIVE  
ACTIVE  
ACTIVE  
ACTIVE  
ACTIVE  
ACTIVE  
ACTIVE  
NFBGA  
NFBGA  
NFBGA  
NFBGA  
NFBGA  
NFBGA  
NFBGA  
ZWT  
ZWT  
ZWT  
ZWT  
ZWT  
ZWT  
ZWT  
361  
361  
361  
361  
361  
361  
361  
90  
90  
90  
90  
90  
1
Pb-Free (RoHS)  
Pb-Free (RoHS)  
Pb-Free (RoHS)  
Pb-Free (RoHS)  
Pb-Free (RoHS)  
Pb-Free (RoHS)  
Pb-Free (RoHS)  
SNAGCU Level-3-260C-168 HR  
SNAGCU Level-3-260C-168 HR  
SNAGCU Level-3-260C-168 HR  
SNAGCU Level-3-260C-168 HR  
SNAGCU Level-3-260C-168 HR  
SNAGCU Level-3-260C-168 HR  
SNAGCU Level-3-260C-168 HR  
90  
(1) The marketing status values are defined as follows:  
ACTIVE: Product device recommended for new designs.  
LIFEBUY: TI has announced that the device will be discontinued, and a lifetime-buy period is in effect.  
NRND: Not recommended for new designs. Device is in production to support existing customers, but TI does not recommend using this part in a new design.  
PREVIEW: Device has been announced but is not in production. Samples may or may not be available.  
OBSOLETE: TI has discontinued the production of the device.  
(2) Eco Plan - The planned eco-friendly classification: Pb-Free (RoHS), Pb-Free (RoHS Exempt), or Green (RoHS & no Sb/Br) - please check http://www.ti.com/productcontent for the latest availability  
information and additional product content details.  
TBD: The Pb-Free/Green conversion plan has not been defined.  
Pb-Free (RoHS): TI's terms "Lead-Free" or "Pb-Free" mean semiconductor products that are compatible with the current RoHS requirements for all 6 substances, including the requirement that  
lead not exceed 0.1% by weight in homogeneous materials. Where designed to be soldered at high temperatures, TI Pb-Free products are suitable for use in specified lead-free processes.  
Pb-Free (RoHS Exempt): This component has a RoHS exemption for either 1) lead-based flip-chip solder bumps used between the die and package, or 2) lead-based die adhesive used between  
the die and leadframe. The component is otherwise considered Pb-Free (RoHS compatible) as defined above.  
Green (RoHS & no Sb/Br): TI defines "Green" to mean Pb-Free (RoHS compatible), and free of Bromine (Br) and Antimony (Sb) based flame retardants (Br or Sb do not exceed 0.1% by weight  
in homogeneous material)  
Addendum-Page 1  
PACKAGE OPTION ADDENDUM  
www.ti.com  
14-Jul-2011  
(3) MSL, Peak Temp. -- The Moisture Sensitivity Level rating according to the JEDEC industry standard classifications, and peak solder temperature.  
Important Information and Disclaimer:The information provided on this page represents TI's knowledge and belief as of the date that it is provided. TI bases its knowledge and belief on information  
provided by third parties, and makes no representation or warranty as to the accuracy of such information. Efforts are underway to better integrate information from third parties. TI has taken and  
continues to take reasonable steps to provide representative and accurate information but may not have conducted destructive testing or chemical analysis on incoming materials and chemicals.  
TI and TI suppliers consider certain information to be proprietary, and thus CAS numbers and other limited information may not be available for release.  
In no event shall TI's liability arising out of such information exceed the total purchase price of the TI part(s) at issue in this document sold by TI to Customer on an annual basis.  
Addendum-Page 2  
IMPORTANT NOTICE  
Texas Instruments Incorporated and its subsidiaries (TI) reserve the right to make corrections, modifications, enhancements, improvements,  
and other changes to its products and services at any time and to discontinue any product or service without notice. Customers should  
obtain the latest relevant information before placing orders and should verify that such information is current and complete. All products are  
sold subject to TIs terms and conditions of sale supplied at the time of order acknowledgment.  
TI warrants performance of its hardware products to the specifications applicable at the time of sale in accordance with TIs standard  
warranty. Testing and other quality control techniques are used to the extent TI deems necessary to support this warranty. Except where  
mandated by government requirements, testing of all parameters of each product is not necessarily performed.  
TI assumes no liability for applications assistance or customer product design. Customers are responsible for their products and  
applications using TI components. To minimize the risks associated with customer products and applications, customers should provide  
adequate design and operating safeguards.  
TI does not warrant or represent that any license, either express or implied, is granted under any TI patent right, copyright, mask work right,  
or other TI intellectual property right relating to any combination, machine, or process in which TI products or services are used. Information  
published by TI regarding third-party products or services does not constitute a license from TI to use such products or services or a  
warranty or endorsement thereof. Use of such information may require a license from a third party under the patents or other intellectual  
property of the third party, or a license from TI under the patents or other intellectual property of TI.  
Reproduction of TI information in TI data books or data sheets is permissible only if reproduction is without alteration and is accompanied  
by all associated warranties, conditions, limitations, and notices. Reproduction of this information with alteration is an unfair and deceptive  
business practice. TI is not responsible or liable for such altered documentation. Information of third parties may be subject to additional  
restrictions.  
Resale of TI products or services with statements different from or beyond the parameters stated by TI for that product or service voids all  
express and any implied warranties for the associated TI product or service and is an unfair and deceptive business practice. TI is not  
responsible or liable for any such statements.  
TI products are not authorized for use in safety-critical applications (such as life support) where a failure of the TI product would reasonably  
be expected to cause severe personal injury or death, unless officers of the parties have executed an agreement specifically governing  
such use. Buyers represent that they have all necessary expertise in the safety and regulatory ramifications of their applications, and  
acknowledge and agree that they are solely responsible for all legal, regulatory and safety-related requirements concerning their products  
and any use of TI products in such safety-critical applications, notwithstanding any applications-related information or support that may be  
provided by TI. Further, Buyers must fully indemnify TI and its representatives against any damages arising out of the use of TI products in  
such safety-critical applications.  
TI products are neither designed nor intended for use in military/aerospace applications or environments unless the TI products are  
specifically designated by TI as military-grade or "enhanced plastic." Only products designated by TI as military-grade meet military  
specifications. Buyers acknowledge and agree that any such use of TI products which TI has not designated as military-grade is solely at  
the Buyer's risk, and that they are solely responsible for compliance with all legal and regulatory requirements in connection with such use.  
TI products are neither designed nor intended for use in automotive applications or environments unless the specific TI products are  
designated by TI as compliant with ISO/TS 16949 requirements. Buyers acknowledge and agree that, if they use any non-designated  
products in automotive applications, TI will not be responsible for any failure to meet such requirements.  
Following are URLs where you can obtain information on other Texas Instruments products and application solutions:  
Products  
Audio  
Applications  
www.ti.com/audio  
amplifier.ti.com  
dataconverter.ti.com  
www.dlp.com  
Communications and Telecom www.ti.com/communications  
Amplifiers  
Data Converters  
DLP® Products  
DSP  
Computers and Peripherals  
Consumer Electronics  
Energy and Lighting  
Industrial  
www.ti.com/computers  
www.ti.com/consumer-apps  
www.ti.com/energy  
dsp.ti.com  
www.ti.com/industrial  
www.ti.com/medical  
www.ti.com/security  
Clocks and Timers  
Interface  
www.ti.com/clocks  
interface.ti.com  
logic.ti.com  
Medical  
Security  
Logic  
Space, Avionics and Defense www.ti.com/space-avionics-defense  
Transportation and Automotive www.ti.com/automotive  
Power Mgmt  
Microcontrollers  
RFID  
power.ti.com  
microcontroller.ti.com  
www.ti-rfid.com  
Video and Imaging  
www.ti.com/video  
OMAP Mobile Processors www.ti.com/omap  
Wireless Connectivity www.ti.com/wirelessconnectivity  
TI E2E Community Home Page  
e2e.ti.com  
Mailing Address: Texas Instruments, Post Office Box 655303, Dallas, Texas 75265  
Copyright © 2011, Texas Instruments Incorporated  

相关型号:

TMS320DM6433ZWTQ5

Digital Media Processor
TI

TMS320DM6433ZWTQ6

Digital Media Processor
TI

TMS320DM6435

Digital Media Processor
TI

TMS320DM6435EZWT6

数字媒体处理器 | ZWT | 361
TI

TMS320DM6435Q

数字媒体处理器,性能高达 4800MIPS、600MHz 时钟速率、1 个 McASP、1 个 McBSP
TI

TMS320DM6435ZDU6

Digital Media Processor
TI

TMS320DM6435ZDU7

Digital Media Processor
TI

TMS320DM6435ZDUL

Digital Media Processor
TI

TMS320DM6435ZDUQ6

Digital Media Processor
TI

TMS320DM6435ZWT4

Digital Media Processor
TI

TMS320DM6435ZWT5

Digital Media Processor
TI
TI