HYS72T64020HU-3S-A [QIMONDA]

240-Pin Unbuffered DDR2 SDRAM Modules; 240针无缓冲DDR2 SDRAM模组
HYS72T64020HU-3S-A
型号: HYS72T64020HU-3S-A
厂家: QIMONDA AG    QIMONDA AG
描述:

240-Pin Unbuffered DDR2 SDRAM Modules
240针无缓冲DDR2 SDRAM模组

存储 内存集成电路 动态存储器 双倍数据速率
文件: 总73页 (文件大小:4256K)
中文:  中文翻译
下载:  下载PDF数据表文档文件
November 2006  
HYS64T16000HU–[3.7/5]–A  
HYS72T32000HU–[2.5/25F/3/3S/3.7/5]–A  
HYS64T32001HU–[2.5/25F/3/3S/3.7/5]–A  
HYS[64/72]T64020HU–[2.5/25F/3/3S/3.7]–A  
240-Pin Unbuffered DDR2 SDRAM Modules  
DDR2 SDRAM  
UDIMM SDRAM  
RoHs Compliant  
Internet Data Sheet  
Rev. 1.41  
Internet Data Sheet  
HYS[64/72]T[16/32/64]0xxHU–[2.5/../5]–A  
Unbuffered DDR2 SDRAM Modules  
HYS64T16000HU–[3.7/5]–A, HYS72T32000HU–[2.5/25F/3/3S/3.7/5]–A, HYS64T32001HU–[2.5/25F/3/3S/3.7/5]–A,  
HYS[64/72]T64020HU–[2.5/25F/3/3S/3.7]–A  
Revision History: 2006-11, Rev. 1.41  
Page  
Subjects (major changes since last revision)  
All  
All  
Qimonda update  
Adapted internet edition  
Previous Revision: 2006-04, Rev. 1.4  
Product portfolio extended : Added -2.5F and -3.7 products  
Chapter 1.1 Added features for average self refresh and self refresh rate to Feature list  
Chapter 3  
Chapter 3  
Chapter 4  
Updated IDD Currents  
Corrected note 4 - Table 18  
Updated SPD Codes  
Previous Revision: 2005-09, Rev. 1.3  
Chapter 4  
Chapter 5  
SPD Codes update: Byte 49 Bit 0 = 1 (HighT_SRFEntry) for all product types  
Package Outlines updated  
Previous Revision: 2005-05, Rev. 1.2  
We Listen to Your Comments  
Any information within this document that you feel is wrong, unclear or missing at all?  
Your feedback will help us to continuously improve the quality of this document.  
Please send your proposal (including a reference to this document) to:  
techdoc@qimonda.com  
qag_techdoc_rev400 / 3.2 QAG / 2006-08-07  
03062006-0GN5-WTPW  
2
Internet Data Sheet  
HYS[64/72]T[16/32/64]0xxHU–[2.5/../5]–A  
Unbuffered DDR2 SDRAM Modules  
1
Overview  
This chapter gives an overview of the 1.8 V 240-Pin Unbuffered DDR2 SDRAM Modules product family and describes its main  
characteristics.  
1.1  
Features  
240-Pin PC2–6400, PC2–5300, PC2–4200 and PC2–  
3200 DDR2 SDRAM memory modules for use as main  
memory when installed in systems such as mobile  
personal computers.  
16M × 64, 32M × 64, 32M × 72, 64M × 64, 64M × 72  
module organization and 16M × 16, 32M × 8 chip  
organization  
128 MB, 256 MB and 512 MB modules built with 256-Mbit  
DDR2 SDRAMs in PG-TFBGA-60 and PG-TFBGA-84  
chipsize packages  
Standard Double-Data-Rate-Two Synchronous DRAMs  
(DDR2 SDRAM) with a single + 1.8 V (± 0.1 V) power  
supply  
Programmable CAS Latencies (3, 4, 5 and 6), Burst  
Length (4 & 8) and Burst Type  
Auto Refresh (CBR) and Self Refresh  
Average Refresh Period 7.8 µs at a TCASE lower than  
85 °C, 3.9 µs between 85 °C and 95 °C  
Programmable self refresh rate via EMRS2 setting  
All inputs and outputs SSTL_18 compatible  
Off-Chip Driver Impedance Adjustment (OCD) and On-Die  
Termination (ODT)  
Serial Presence Detect with E2PROM  
UDIMM Dimensions (nominal): 30 mm high, 133.35 mm  
wide  
Based on standard reference layouts Raw Card “A”, “C”,  
“D“, “E“, “F” and “G”  
All Speed Grades faster than DDR2–400 comply with  
DDR2–400 timing specifications  
RoHS compliant products1)  
TABLE 1  
Performance Table  
Product Type Speed Code  
Speed Grade  
–25F  
–2.5  
–3  
–3S  
–3.7  
–5  
Unit  
PC2–6400 PC2–6400 PC2–5300 PC2–5300 PC2–4200 PC2–3200 —  
5–5–5  
@CL6 fCK6 400  
@CL5 fCK5 400  
6–6–6  
4–4–4  
5–5–5  
4–4–4  
3–3–3  
Max. Clock Frequency  
400  
333  
266  
200  
15  
MHz  
MHz  
MHz  
MHz  
ns  
333  
333  
200  
12  
333  
266  
200  
15  
266  
266  
200  
15  
200  
200  
200  
15  
@CL4 fCK4 266  
@CL3 fCK3 200  
tRCD 12.5  
Min. RAS-CAS-Delay  
Min. Row Precharge Time  
Min. Row Active Time  
Min. Row Cycle Time  
tRP 12.5  
15  
12  
15  
15  
15  
ns  
tRAS 45  
45  
45  
45  
45  
40  
ns  
tRC 57.5  
60  
57  
60  
60  
55  
ns  
1) RoHS Compliant Product: Restriction of the use of certain hazardous substances (RoHS) in electrical and electronic equipment as defined  
in the directive 2002/95/EC issued by the European Parliament and of the Council of 27 January 2003. These substances include mercury,  
lead, cadmium, hexavalent chromium, polybrominated biphenyls and polybrominated biphenyl ethers.  
Rev. 1.41, 2006-11  
3
03062006-0GN5-WTPW  
Internet Data Sheet  
HYS[64/72]T[16/32/64]0xxHU–[2.5/../5]–A  
Unbuffered DDR2 SDRAM Modules  
1.2  
Description  
The QIMONDA HYS[64/72]T[16/32/64]0xxHU–[2.5/../5]–A  
module family are unbuffered DIMM modules “UDIMMs” with  
30,0 mm height based on DDR2 technology. DIMMs are  
available as non-ECC modules in 16M × 64 (128MB),  
32M × 64 (256MB), 64M × 64 (512MB) and as ECC modules  
in 32M × 72 (256MB), 64M × 72 (512MB) organization and  
density, intended for mounting into 240-pin connector  
sockets.  
The memory array is designed with 256-Mbit Double-Data-  
Rate-Two (DDR2) Synchronous DRAMs. Decoupling  
capacitors are mounted on the PCB board. The DIMMs  
feature serial presence detect based on a serial E2PROM  
device using the 2-pin I2C protocol. The first 128 bytes are  
programmed with configuration data and are write protected;  
the second 128 bytes are available to the customer.  
TABLE 2  
Ordering Information for RoHS Compliant Products  
Product Type1)  
Compliance Code2)  
Description  
SDRAM Technology  
PC2–6400  
HYS64T32001HU–2.5–A  
HYS64T64020HU–2.5–A  
HYS72T32000HU–2.5–A  
HYS72T64020HU–2.5–A  
HYS64T32001HU–25F–A  
HYS64T64020HU–25F–A  
HYS72T32000HU–25F–A  
HYS72T64020HU–25F–A  
PC2–5300  
256MB 1Rx8 PC2–6400U–666–12–D0  
512MB 2Rx8 PC2–6400U–666–12–E0  
256MB 1Rx8 PC2–6400E–666–12–F0  
512MB 2Rx8 PC2–6400E–666–12–G0  
256MB 1Rx8 PC2–6400U–555–12–D0  
512MB 2Rx8 PC2–6400U–555–12–E0  
256MB 1Rx8 PC2–6400E–555–12–F0  
512MB 2Rx8 PC2–6400E–555–12–G0  
1 Rank, Non-ECC  
2 Ranks, Non-ECC  
1 Rank, ECC  
256 Mbit (x8)  
256 Mbit (x8)  
256 Mbit (x8)  
256 Mbit (x8)  
256 Mbit (x8)  
256 Mbit (x8)  
256 Mbit (x8)  
256 Mbit (x8)  
2 Ranks, ECC  
1 Rank, Non-ECC  
2 Ranks, Non-ECC  
1 Rank, ECC  
2 Ranks, ECC  
HYS64T32001HU–3–A  
HYS64T64020HU–3–A  
HYS72T32000HU–3–A  
HYS72T64020HU–3–A  
HYS64T32001HU–3S–A  
HYS64T64020HU–3S–A  
HYS72T32000HU–3S–A  
HYS72T64020HU–3S–A  
PC2–4200  
256MB 1Rx8 PC2–5300U–444–12–D0  
512MB 2Rx8 PC2–5300U–444–12–E0  
256MB 1Rx8 PC2–5300E–444–12–F0  
512MB 2Rx8 PC2–5300E–444–12–G0  
256MB 1Rx8 PC2–5300U–555–12–D0  
512MB 2Rx8 PC2–5300U–555–12–E0  
256MB 1Rx8 PC2–5300E–555–12–F0  
512MB 2Rx8 PC2–5300E–555–12–G0  
1 Rank, Non-ECC  
2 Ranks, Non-ECC  
1 Rank, ECC  
256 Mbit (x8)  
256 Mbit (x8)  
256 Mbit (x8)  
256 Mbit (x8)  
256 Mbit (x8)  
256 Mbit (x8)  
256 Mbit (x8)  
256 Mbit (x8)  
2 Ranks, ECC  
1 Rank, Non-ECC  
2 Ranks, Non-ECC  
1 Rank, ECC  
2 Ranks,ECC  
HYS64T16000HU–3.7–A  
HYS64T32001HU–3.7–A  
HYS72T32000HU–3.7–A  
HYS64T64020HU–3.7–A  
128MB 1Rx16 PC2–4200U–444–11–C1  
256MB 1Rx8 PC2–4200U–444–11–A1  
256MB 1Rx8 PC2–4200E–444–11–A1  
512MB 2Rx8 PC2–4200U–444–12–E1  
1 Rank, Non-ECC  
1 Rank, Non-ECC  
1 Rank, ECC  
256 Mbit (x16)  
256 Mbit (x8)  
256 Mbit (x8)  
256 Mbit (x8)  
2 Ranks, Non-ECC  
Rev. 1.41, 2006-11  
4
03062006-0GN5-WTPW  
Internet Data Sheet  
HYS[64/72]T[16/32/64]0xxHU–[2.5/../5]–A  
Unbuffered DDR2 SDRAM Modules  
Product Type1)  
Compliance Code2)  
Description  
SDRAM Technology  
PC2–3200  
HYS64T16000HU–5–A  
HYS64T32001HU–5–A  
HYS72T32000HU–5–A  
128MB 1Rx16 PC2–3200U–333–11–C1  
256MB 1Rx8 PC2–3200U–333–11–A1  
256MB 1Rx8 PC2–3200E–333–11–A1  
1 Rank, Non-ECC  
1 Rank, Non-ECC  
1 Rank, ECC  
256 Mbit (x16)  
256 Mbit (x8)  
256 Mbit (x8)  
1) All product types end with a place code, designating the silicon die revision. Example: HYS64T16000HU–3.7–A, indicating Rev. “A” dies  
are used for DDR2 SDRAM components. For all QIMONDA DDR2 module and component nomenclature see Chapter 6 of this data sheet.  
2) The Compliance Code is printed on the module label and describes the speed grade, for example “PC2–4200U–444–11–C1”, where  
4200U means Unbuffered DIMM modules with 4.26 GB/sec Module Bandwidth and “444-11” means Column Address Strobe (CAS)  
latency = 4, Row Column Delay (RCD) latency = 4 and Row Precharge (RP) latency = 4 using the latest JEDEC SPD Revision 1.1 and  
produced on the Raw Card “C”.  
TABLE 3  
Address Format  
DIMM  
Density  
Module  
Organization  
Memory  
Ranks  
ECC/  
Non-ECC  
# of SDRAMs # of row/bank/column  
bits  
Raw  
Card  
128 MByte  
256 MByte  
16M × 64  
32M × 64  
32M × 72  
64M × 64  
64M × 72  
1
1
1
2
2
Non-ECC  
Non-ECC  
ECC  
4
13/2/9  
C
8
13/2/10  
13/2/10  
13/2/10  
13/2/10  
A,D  
A,F  
E
9
512 MByte  
Non-ECC  
ECC  
16  
18  
G
TABLE 4  
Components on Modules  
Product Type1)  
DRAM Components1)  
DRAM Density  
DRAM Organisation  
Note2)  
HYS64T16000HU  
HYS64T32001HU  
HYS64T64020HU  
HYS72T32000HU  
HYB18T256160AF  
HYB18T256800AF  
HYB18T256800AF  
HYB18T256800AF  
HYB18T256800AF  
256 Mbit  
256 Mbit  
256 Mbit  
256 Mbit  
256 Mbit  
16M × 16  
32M × 8  
32M × 8  
32M × 8  
32M × 8  
HYS72T64020HU  
1) Green Product  
2) For a detailed description of all functionalities of the DRAM components on these modules see the component data sheet.  
Rev. 1.41, 2006-11  
5
03062006-0GN5-WTPW  
Internet Data Sheet  
HYS[64/72]T[16/32/64]0xxHU–[2.5/../5]–A  
Unbuffered DDR2 SDRAM Modules  
2
Pin Configuration  
The pin configuration of the Unbuffered DDR2 SDRAM DIMM is listed by function in Table 5 (240 pins). The abbreviations used  
in columns Pin and Buffer Type are explained in Table 6 and Table 7 respectively. The pin numbering is depicted in Figure 1  
for non-ECC modules (×64) and Figure 2 for ECC modules 72).  
TABLE 5  
Pin Configuration of UDIMM  
Ball No.  
Name Pin  
Buffer Function  
Type Type  
Clock Signals  
185  
137  
220  
186  
138  
221  
52  
CK0  
CK1  
CK2  
CK0  
CK1  
CK2  
CKE0  
CKE1  
NC  
I
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
Clock Signals 2:0, Complement Clock Signals 2:0  
I
I
I
I
I
I
Clock Enable Rank 1:0  
Note: 2 Ranks module  
171  
I
NC  
Not Connected  
Note: 1 Rank module  
Control Signals  
193  
76  
S0#  
S1#  
NC  
I
SSTL  
SSTL  
Chip Select Rank 1:0  
Note: 2 Ranks module  
I
NC  
Not Connected  
Note: 1 Rank module  
Row Address Strobe  
Column Address Strobe  
Write Enable  
192  
RAS  
CAS  
WE  
I
I
I
SSTL  
SSTL  
SSTL  
74  
73  
Address Signals  
71  
BA0  
BA1  
BA2  
I
I
I
SSTL  
SSTL  
SSTL  
Bank Address Bus 1:0  
190  
54  
Bank Address Bus 2  
Greater than 512Mb DDR2 SDRAMS  
NC  
NC  
Not Connected  
Less than 1Gb DDR2 SDRAMS  
Rev. 1.41, 2006-11  
6
03062006-0GN5-WTPW  
Internet Data Sheet  
HYS[64/72]T[16/32/64]0xxHU–[2.5/../5]–A  
Unbuffered DDR2 SDRAM Modules  
Ball No.  
Name Pin  
Buffer Function  
Type Type  
188  
183  
63  
A0  
I
I
I
I
I
I
I
I
I
I
I
I
I
I
I
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
Address Bus 12:0  
A1  
A2  
182  
61  
A3  
A4  
60  
A5  
180  
58  
A6  
A7  
179  
177  
70  
A8  
A9  
A10  
AP  
A11  
A12  
A13  
57  
176  
196  
Address Signal 13  
Note: 1 Gbit based module and 512M ×4/×8  
NC  
NC  
Not Connected  
Note: Module based on 1 Gbit ×16  
Module based on 512 Mbit ×16 or smaller  
174  
A14  
NC  
I
SSTL  
Address Signal 14  
Note: Modules based on 2 Gbit  
Not Connected  
NC  
Note: Modules based on 1 Gbit or smaller  
Data Signals  
3
DQ0  
DQ1  
DQ2  
DQ3  
DQ4  
DQ5  
DQ6  
DQ7  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
Data Bus 63:0  
Data Input/Output pins  
4
9
10  
122  
123  
128  
129  
Rev. 1.41, 2006-11  
7
03062006-0GN5-WTPW  
Internet Data Sheet  
HYS[64/72]T[16/32/64]0xxHU–[2.5/../5]–A  
Unbuffered DDR2 SDRAM Modules  
Ball No.  
Name Pin  
Buffer Function  
Type Type  
12  
DQ8  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
Data Bus 63:0  
Data Input/Output pins  
13  
DQ9  
21  
DQ10  
DQ11  
DQ12  
DQ13  
DQ14  
DQ15  
DQ16  
DQ17  
DQ18  
DQ19  
DQ20  
DQ21  
DQ22  
DQ23  
DQ24  
DQ25  
DQ26  
DQ27  
DQ28  
DQ29  
DQ30  
DQ31  
DQ32  
DQ33  
DQ34  
DQ35  
DQ36  
DQ37  
DQ38  
DQ39  
DQ40  
DQ41  
DQ42  
DQ43  
DQ44  
DQ45  
DQ46  
DQ47  
22  
131  
132  
140  
141  
24  
25  
30  
31  
143  
144  
149  
150  
33  
34  
39  
40  
152  
153  
158  
159  
80  
81  
86  
87  
199  
200  
205  
206  
89  
90  
95  
96  
208  
209  
214  
215  
Rev. 1.41, 2006-11  
8
03062006-0GN5-WTPW  
Internet Data Sheet  
HYS[64/72]T[16/32/64]0xxHU–[2.5/../5]–A  
Unbuffered DDR2 SDRAM Modules  
Ball No.  
Name Pin  
Buffer Function  
Type Type  
98  
DQ48  
DQ49  
DQ50  
DQ51  
DQ52  
DQ53  
DQ54  
DQ55  
DQ56  
DQ57  
DQ58  
DQ59  
DQ60  
DQ61  
DQ62  
DQ63  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
Data Bus 63:0  
Data Input/Output pins  
99  
107  
108  
217  
218  
226  
227  
110  
111  
116  
117  
229  
230  
235  
236  
Check Bit Signals  
42  
CB0  
NC  
I/O  
NC  
I/O  
NC  
I/O  
NC  
I/O  
NC  
I/O  
NC  
I/O  
NC  
SSTL  
Check Bit 0  
Note: ECC type module only  
Not Connected  
Note: ECC type module only  
Check Bit 1  
43  
CB1  
NC  
SSTL  
Note: ECC type module only  
Not Connected  
Note: ECC type module only  
Check Bit 2  
48  
CB2  
NC  
SSTL  
Note: ECC type module only  
Not Connected  
Note: ECC type module only  
Check Bit 3  
49  
CB3  
NC  
SSTL  
Note: ECC type module only  
Not Connected  
Note: ECC type module only  
Check Bit 4  
161  
162  
CB4  
NC  
SSTL  
Note: ECC type module only  
Not Connected  
Note: ECC type module only  
Check Bit 5  
CB5  
NC  
SSTL  
Note: ECC type module only  
Not Connected  
Note: ECC type module only  
Rev. 1.41, 2006-11  
9
03062006-0GN5-WTPW  
Internet Data Sheet  
HYS[64/72]T[16/32/64]0xxHU–[2.5/../5]–A  
Unbuffered DDR2 SDRAM Modules  
Ball No.  
Name Pin  
Buffer Function  
Type Type  
167  
CB6  
NC  
I/O SSTL  
Check Bit 6  
Note: ECC type module only  
Not Connected  
NC  
Note: ECC type module only  
Check Bit 7  
168  
CB7  
NC  
I/O  
NC  
SSTL  
Note: ECC type module only  
Not Connected  
Note: Non-ECC module  
Data Strobe Bus  
7
DQS0 I/O  
DQS1 I/O  
DQS2 I/O  
DQS3 I/O  
DQS4 I/O  
DQS5 I/O  
DQS6 I/O  
DQS7 I/O  
DQS8 I/O  
DQS0 I/O  
DQS1 I/O  
DQS2 I/O  
DQS3 I/O  
DQS4 I/O  
DQS5 I/O  
DQS6 I/O  
DQS7 I/O  
DQS8 I/O  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
Data Strobe Bus 8:0  
16  
28  
37  
84  
93  
105  
114  
46  
6
Complement Data Strobe Bus 8:0  
15  
27  
36  
83  
92  
104  
113  
45  
Data Mask Signals  
125  
DM0  
DM1  
DM2  
DM3  
DM4  
DM5  
DM6  
DM7  
DM8  
I
I
I
I
I
I
I
I
I
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
SSTL  
Data Mask Bus 8:0  
134  
146  
155  
202  
211  
223  
232  
164  
EEPROM  
120  
SCL  
SDA  
I
CMOS Serial Bus Clock  
119  
I/O  
OD  
Serial Bus Data  
Rev. 1.41, 2006-11  
10  
03062006-0GN5-WTPW  
Internet Data Sheet  
HYS[64/72]T[16/32/64]0xxHU–[2.5/../5]–A  
Unbuffered DDR2 SDRAM Modules  
Ball No.  
Name Pin  
Buffer Function  
Type Type  
239  
SA0  
SA1  
SA2  
I
I
I
CMOS Serial Address Select Bus 2:0  
240  
CMOS  
CMOS  
101  
Power Supplies  
1
VREF  
AI  
I/O Reference Voltage  
EEPROM Power Supply  
I/O Driver Power Supply  
238  
VDDSPD PWR  
51,56,62,72,75,,  
78,170,175,181,,  
191,194  
VDDQ  
VDD  
VSS  
PWR  
PWR  
GND  
53,59,64,67,69,,  
172,178,184,187,  
189,197  
Power Supply  
Ground Plane  
2,5,8,11,14,17,,  
20,23,26,29,32,  
35,38,41,44,47,,  
50,65,66,79,82,  
85,88,91,94,97,,  
100,103,106,  
109,112,115,118,  
121,124,127,,  
130,133,136,139,  
142,145,148,,  
151,154,157,160,  
163,166,169,  
198,201,204,207,  
210,213,216,,  
219,222,225,228,  
231,234,237  
Other Pins  
195  
77  
ODT0  
ODT1  
I
I
SSTL  
SSTL  
On-Die Termination Control 0  
On-Die Termination Control 1  
Note: 2 Rank modules  
Not Connected  
NC  
NC  
NC  
Note: 1 Rank modules  
Not connected  
18,19,55,68,102,1 NC  
26,135,147,  
156,165,173,203,  
212, 224,233  
Rev. 1.41, 2006-11  
11  
03062006-0GN5-WTPW  
Internet Data Sheet  
HYS[64/72]T[16/32/64]0xxHU–[2.5/../5]–A  
Unbuffered DDR2 SDRAM Modules  
TABLE 6  
Abbreviations for Pin Type  
Abbreviation  
Description  
I
Standard input-only pin. Digital levels.  
Output. Digital levels.  
I/O is a bidirectional input/output signal.  
Input. Analog levels.  
Power  
O
I/O  
AI  
PWR  
GND  
NC  
Ground  
Not Connected  
TABLE 7  
Abbreviations for Buffer Type  
Abbreviation  
Description  
SSTL  
Serial Stub Terminated Logic (SSTL_18)  
Low Voltage CMOS  
LV-CMOS  
CMOS  
OD  
CMOS Levels  
Open Drain. The corresponding pin has 2 operational states, active low and tri-state,  
and allows multiple devices to share as a wire-OR.  
Rev. 1.41, 2006-11  
12  
03062006-0GN5-WTPW  
                                                                                                                                                                                    
                                                       
                                                       
                                                         
                                                          
                                                           
                                                                                                 
                                                                                                 
                                                                                                   
                                                                                                    
                                                                                                     
                                                                                                         
                                                                                                          
                                                                       
                                 
                                   
                                        
                                        
                                          
                                           
                                           
                                                                                                                
                                                                                                                 
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                        
                                                                                                                         
                                               
                                                
                                                       
                                                       
                                                         
                                                          
                                                           
                                                                                                 
                                                                                                 
                                                                                                   
                                                                                                    
                                                                                                     
                                                                                                                                                             
                                        
                                        
                                          
                                           
                                           
                                                                                                                
                                                                                                                 
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                        
                                                                                                                         
                                                   
                                               
                                                
                                                  
                                                       
                                                       
                                                         
                                                          
                                                           
                                                                                                 
                                                                                                 
                                                                                                   
                                                                                                    
                                                                                                     
                                                                                                         
                                 
                                   
                                    
                                        
                                        
                                          
                                           
                                           
                                                                                                                
                                                                                                                 
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                                                                                    
                                                       
                                                       
                                                         
                                                          
                                                           
                                                                                                 
                                                                                                 
                                                                                                   
                                                                                                    
                                                                                                     
                                                                                                         
                                                                                                          
                                                                       
                                 
                                   
                                        
                                        
                                          
                                           
                                           
                                                                                                                
                                                                                                                 
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                        
                                                                                                                         
                                               
                                                
                                                       
                                                       
                                                         
                                                          
                                                           
                                                                                                 
                                                                                                 
                                                                                                   
                                                                                                    
                                                                                                     
                                                                                                                                                             
                                        
                                        
                                          
                                           
                                           
                                                                                                                
                                                                                                                 
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                        
                                                                                                                         
                                                                                                                          
                                                   
                                               
                                                
                                                       
                                                       
                                                         
                                                          
                                                           
                                                                                                 
                                                                                                 
                                                                                                   
                                                                                                    
                                                                                                     
                                                                                                         
                                                                                                          
                                                                                                           
                                 
                                   
                                        
                                        
                                          
                                           
                                           
                                                                                                                
                                                                                                                 
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                                                                                    
                                                       
                                                       
                                                         
                                                          
                                                           
                                                                                                 
                                                                                                 
                                                                                                   
                                                                                                    
                                                                                                     
                                                                                                         
                                                                                                          
                                                                       
                                 
                                   
                                    
                                        
                                        
                                          
                                           
                                           
                                                                                                                
                                                                                                                 
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                        
                                               
                                                
                                                  
                                                       
                                                       
                                                         
                                                          
                                                           
                                                                                                 
                                                                                                 
                                                                                                   
                                                                                                    
                                                                                                     
                                                                                                                                                             
                                        
                                        
                                          
                                           
                                           
                                                                                                                
                                                                                                                 
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                        
                                                                                                                         
                                                   
                                               
                                                       
                                                       
                                                         
                                                          
                                                           
                                                                                                 
                                                                                                 
                                                                                                   
                                                                                                    
                                                                                                     
                                                                                                         
                                                                                                          
                                 
                                        
                                        
                                          
                                           
                                           
                                                                                                                
                                                                                                                 
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                                                                                    
                                                       
                                                       
                                                         
                                                          
                                                           
                                                                                                 
                                                                                                 
                                                                                                   
                                                                                                    
                                                                                                     
                                                                                                         
                                                                                                          
                                                                                                           
                                                                       
                                 
                                   
                                    
                                                                                                                        
                                                                                                                         
                                                                                                                          
                                        
                                        
                                          
                                           
                                           
                                                                                                                
                                                                                                                 
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                               
                                                
                                                 
                                                       
                                                       
                                                         
                                                          
                                                           
                                                                                                 
                                                                                                 
                                                                                                   
                                                                                                    
                                                                                                     
                                                                                                                                                             
                                        
                                        
                                          
                                           
                                           
                                                                                                                
                                                                                                                 
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                        
                                                                                                                         
                                                                                                                          
                                                   
                                               
                                                
                                                 
                                                       
                                                       
                                                         
                                                          
                                                           
                                                                                                 
                                                                                                 
                                                                                                   
                                                                                                    
                                                                                                     
                                                                                                         
                                                                                                          
                                                                                                           
                                 
                                   
                                    
                                        
                                        
                                          
                                           
                                           
                                                                                                                
                                                                                                                 
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                                                                                    
                                                       
                                                       
                                                         
                                                          
                                                           
                                                                                                 
                                                                                                 
                                                                                                   
                                                                                                    
                                                                                                     
                                                                                                         
                                                                                                          
                                                                       
                                 
                                   
                                    
                                        
                                        
                                          
                                           
                                           
                                                                                                                
                                                                                                                 
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                        
                                               
                                                
                                                  
                                                       
                                                       
                                                         
                                                          
                                                           
                                                                                                 
                                                                                                 
                                                                                                   
                                                                                                    
                                                                                                     
                                                                                                                                                             
                                        
                                        
                                          
                                           
                                           
                                                                                                                
                                                                                                                 
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                        
                                                                                                                         
                                                                                                                          
                                                   
                                               
                                                
                                                 
                                                       
                                                       
                                                         
                                                          
                                                           
                                                                                                 
                                                                                                 
                                                                                                   
                                                                                                    
                                                                                                     
                                                                                                         
                                                                                                          
                                                                                                           
                                 
                                   
                                    
                                        
                                        
                                          
                                           
                                           
                                                                                                                
                                                                                                                 
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                                                                                    
                                                       
                                                       
                                                         
                                                          
                                                           
                                                                                                 
                                                                                                 
                                                                                                   
                                                                                                    
                                                                                                     
                                                                                                         
                                                                                                          
                                                                                                           
                                                                       
                                 
                                   
                                    
                                        
                                        
                                          
                                           
                                           
                                                                                                                
                                                                                                                 
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                        
                                                                                                                         
                                                                                                                          
                                               
                                                
                                                 
                                                       
                                                       
                                                         
                                                          
                                                           
                                                                                                 
                                                                                                 
                                                                                                   
                                                                                                    
                                                                                                     
                                                                                                                                                             
                                        
                                        
                                          
                                           
                                           
                                                                                                                
                                                                                                                 
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                        
                                                                                                                         
                                                   
                                               
                                                
                                                  
                                                       
                                                       
                                                         
                                                          
                                                           
                                                                                                 
                                                                                                 
                                                                                                   
                                                                                                    
                                                                                                     
                                                                                                         
                                 
                                   
                                    
                                        
                                        
                                          
                                           
                                           
                                                                                                                
                                                                                                                 
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                                                                                    
                                                       
                                                       
                                                         
                                                          
                                                           
                                                                                                 
                                                                                                 
                                                                                                   
                                                                                                    
                                                                                                     
                                                                                                         
                                                                                                          
                                                                                                           
                                                                       
                                 
                                   
                                    
                                        
                                        
                                          
                                           
                                           
                                                                                                                
                                                                                                                 
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                        
                                                                                                                         
                                                                                                                          
                                               
                                                
                                                 
                                                       
                                                       
                                                         
                                                          
                                                           
                                                                                                 
                                                                                                 
                                                                                                   
                                                                                                    
                                                                                                     
                                                                                                                                                             
                                        
                                        
                                          
                                           
                                           
                                                                                                                
                                                                                                                 
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                        
                                                   
                                               
                                                       
                                                       
                                                         
                                                          
                                                           
                                                                                                 
                                                                                                 
                                                                                                   
                                                                                                    
                                                                                                     
                                                                                                         
                                 
                                        
                                        
                                          
                                           
                                           
                                                                                                                
                                                                                                                 
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                                                                                    
                                                       
                                                       
                                                         
                                                          
                                                           
                                                                                                 
                                                                                                 
                                                                                                   
                                                                                                    
                                                                                                     
                                                                                                         
                                                                       
                                 
                                        
                                        
                                          
                                           
                                           
                                                                                                                
                                                                                                                 
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                        
                                               
                                                       
                                                       
                                                         
                                                          
                                                           
                                                                                                 
                                                                                                 
                                                                                                   
                                                                                                    
                                                                                                     
                                                                                                                                                             
                                        
                                        
                                          
                                           
                                           
                                                                                                                
                                                                                                                 
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                        
                                                   
                                               
                                                       
                                                       
                                                         
                                                          
                                                           
                                                                                                 
                                                                                                 
                                                                                                   
                                                                                                    
                                                                                                     
                                                                                                         
                                 
                                        
                                        
                                          
                                           
                                           
                                                                                                                
                                                                                                                 
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                                                                                    
                                                       
                                                       
                                                         
                                                          
                                                           
                                                                                                 
                                                                                                 
                                                                                                   
                                                                                                    
                                                                                                     
                                                                       
                                                                                                                                                             
                                                                                                                                                              
                                        
                                        
                                          
                                           
                                           
                                                                                                                
                                                                                                                 
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                        
                                                                                                                         
                                                                                                                          
                                                   
                                                    
                                               
                                                
                                                 
                                                       
                                                       
                                                         
                                                          
                                                           
                                                                                                 
                                                                                                 
                                                                                                   
                                                                                                    
                                                                                                     
                                                                                                                                                             
                                        
                                        
                                          
                                           
                                           
                                                                                                                
                                                                                                                 
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                        
                                                   
                                               
                                                 
                                                  
                                                   
                                                       
                                                       
                                                         
                                                          
                                                           
                                                                                                 
                                                                                                 
                                                                                                   
                                                                                                    
                                                                                                     
                                                                                                        
                                                                                                          
                                 
                                        
                                        
                                          
                                           
                                           
                                                                                                                
                                                                                                                 
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                                                                                    
                                                                                                                                                                                     
                                                       
                                                       
                                                         
                                                          
                                                           
                                                                                                 
                                                                                                 
                                                                                                   
                                                                                                    
                                                                                                     
                                                                                                        
                                                                                                          
                                                                       
                                                                        
                                 
                                  
                                        
                                        
                                          
                                           
                                           
                                                                                                                
                                                                                                                 
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                        
                                               
                                                       
                                                       
                                                         
                                                          
                                                           
                                                                                                 
                                                                                                 
                                                                                                   
                                                                                                    
                                                                                                     
                                                                                                                                                             
                                        
                                        
                                          
                                           
                                           
                                                                                                                
                                                                                                                 
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                        
                                                   
                                               
                                                       
                                                       
                                                         
                                                          
                                                           
                                                                                                 
                                                                                                 
                                                                                                   
                                                                                                    
                                                                                                     
                                                                                                        
                                 
                                        
                                        
                                          
                                           
                                           
                                                                                                                
                                                                                                                 
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                                                                                    
                                                                                                                                                                                     
                                                       
                                                       
                                                         
                                                          
                                                           
                                                                                                 
                                                                                                 
                                                                                                   
                                                                                                    
                                                                                                     
                                                                                                        
                                                                       
                                                                        
                                 
                                        
                                        
                                          
                                           
                                           
                                                                                                                
                                                                                                                 
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                        
                                                       
                                                       
                                                         
                                                          
                                                           
                                                                                                 
                                                                                                 
                                                                                                   
                                                                                                    
                                                                                                     
                                                                       
                                                                                                                                                             
9ꢀ  
66ꢀ  
3  
                                        
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
                                        
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
                                          
ꢁꢊ  
ꢁꢊ  
ꢁꢊ  
ꢁꢅ  
ꢁꢅ  
ꢁꢅ  
ꢁꢅ  
ꢁꢅ  
ꢁꢋ  
ꢁꢋ  
ꢁꢋ  
ꢁꢋ  
ꢁꢋ  
ꢁꢆ  
ꢁꢆ  
ꢁꢆ  
ꢁꢆ  
ꢁꢆ  
ꢂꢁ  
ꢂꢁ  
ꢂꢁ  
ꢂꢁ  
ꢂꢁ  
ꢂꢂ  
ꢂꢂ  
ꢂꢂ  
ꢂꢂ  
ꢂꢂ  
                                           
                                           
ꢃꢀ  
ꢅꢀ  
ꢆꢀ  
ꢂꢀ  
ꢄꢀ  
ꢃꢀ  
ꢅꢀ  
ꢆꢀ  
ꢂꢀ  
ꢄꢀ  
ꢃꢀ  
ꢅꢀ  
ꢆꢀ  
ꢂꢀ  
ꢄꢀ  
ꢃꢀ  
ꢅꢀ  
ꢆꢀ  
ꢂꢀ  
ꢄꢀ  
ꢃꢀ  
ꢅꢀ  
ꢆꢀ  
ꢂꢀ  
ꢄꢀ  
ꢃꢀ  
ꢅꢀ  
ꢆꢀ  
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
                                                                                                                
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
                                                                                                                 
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
                                                                                                                  
ꢂꢋ  
ꢂꢋ  
ꢂꢋ  
ꢂꢆ  
ꢂꢆ  
ꢂꢆ  
ꢂꢆ  
ꢂꢆ  
ꢈꢁ  
ꢈꢁ  
ꢈꢁ  
ꢈꢁ  
ꢈꢁ  
ꢈꢂ  
ꢈꢂ  
ꢈꢂ  
ꢈꢂ  
ꢈꢂ  
ꢈꢈ  
ꢈꢈ  
ꢈꢈ  
ꢈꢈ  
ꢈꢈ  
ꢈꢄ  
ꢈꢄ  
ꢈꢄ  
ꢈꢄ  
ꢈꢄ  
                                                                                                                   
                                                                                                                    
ꢃꢀꢇꢀ &  
                                                                                                                        
                                                                                                                         
.ꢁꢀ  
                                                   
9ꢀ  
66ꢀ  
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
                                                       
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
                                                       
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
                                                         
                                                          
                                                           
ꢊꢀ  
ꢋꢀ  
ꢁꢀ  
ꢈꢀ  
ꢉꢀ  
ꢊꢀ  
ꢋꢀ  
ꢁꢀ  
ꢈꢀ  
ꢉꢀ  
ꢊꢀ  
ꢋꢀ  
ꢁꢀ  
ꢈꢀ  
ꢉꢀ  
ꢊꢀ  
ꢋꢀ  
ꢁꢀ  
ꢈꢀ  
ꢉꢀ  
ꢊꢀ  
ꢋꢀ  
ꢁꢀ  
ꢈꢀ  
ꢉꢀ  
ꢊꢀ  
ꢋꢀ  
ꢁꢀ  
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
                                                                                                 
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
                                                                                                 
                                                                                                   
ꢂꢋ  
ꢂꢋ  
ꢂꢆ  
ꢂꢆ  
ꢂꢆ  
ꢂꢆ  
ꢂꢆ  
ꢈꢁ  
ꢈꢁ  
ꢈꢁ  
ꢈꢁ  
ꢈꢁ  
ꢈꢂ  
ꢈꢂ  
ꢈꢂ  
ꢈꢂ  
ꢈꢂ  
ꢈꢈ  
ꢈꢈ  
ꢈꢈ  
ꢈꢈ  
ꢈꢈ  
ꢈꢄ  
ꢈꢄ  
ꢈꢄ  
ꢈꢄ  
ꢈꢄ  
ꢈꢉ  
                                                                                                    
                                                                                                     
ꢊꢀ  
ꢋꢀ  
ꢁꢀ  
ꢈꢀ  
&
                                                                                                         
                                                                                                          
.
ꢁꢀ  
ꢁꢀ  
ꢂꢀ  
                                                                       
9ꢀ 'ꢀ 3  
                                        
                                        
                                          
                                           
                                           
                                                                                                                
                                                                                                                 
                                                                                                                  
                                                                                                                   
                                                                                                                    
ꢅꢀꢇꢀ 9ꢀ  
ꢆꢀꢇꢀ 9ꢀ  
'
                                                   
''  
                                                                                                                                                                                    
1
                                               
                                                       
                                                       
                                                         
                                                          
                                                           
                                                                                                 
                                                                                                 
                                                                                                   
                                                                                                    
                                                                                                     
$
%
                                                                                                        
&
9ꢀ 'ꢀ 3  
                                        
                                        
                                          
                                           
                                           
                                                                                                                
                                                                                                                 
                                                                                                                  
                                                                                                                   
                                                                                                                    
'
                                                   
''  
                                                                                                                                                                                    
$
                                               
                                                
ꢁꢌ  
                                                 
$
                                                  
3ꢀ  
                                                       
                                                       
                                                         
                                                          
                                                           
                                                                                                 
                                                                                                 
                                                                                                   
                                                                                                    
                                                                                                     
                                                                                                         
$
                                                                                                          
%
                                 
$
                                  
ꢁꢀ 3  
                                        
                                        
                                          
                                           
                                           
                                                                                                                
                                                                                                                 
                                                                                                                  
                                                                                                                   
                                                                                                                    
ꢂꢀꢇꢀ 9ꢀ  
''  
                                                                                                                                                                                    
                                                                                                                                                                                     
4ꢀ  
9ꢀ  
                                                       
                                                       
                                                         
                                                          
                                                           
                                                                                                 
                                                                                                 
                                                                                                   
                                                                                                    
                                                                                                     
5
                                                                                                         
                                                                                                          
$
6ꢀ  
''  
                                                                       
                                                                        
4ꢀ  
:
                                  
(ꢀ 3  
                                        
                                        
                                          
                                           
                                           
                                                                                                                
                                                                                                                 
                                                                                                                  
                                                                                                                   
                                                                                                                    
ꢄꢀꢇꢀ 6ꢁꢀ  
ꢃꢀꢇꢀ 2'  
ꢅꢀꢇꢀ 9ꢀ  
''ꢀ  
                                                                                                                        
&
1
                                               
                                                
6ꢀ  
                                                       
                                                       
                                                         
                                                          
                                                           
                                                                                                 
                                                                                                 
                                                                                                   
                                                                                                    
                                                                                                     
$
&
ꢉꢀ 9ꢀ '4  
'
                                                                                                                                                             
                                                                                                                                                              
9ꢀ '4 3  
                                        
                                        
                                          
                                           
                                           
                                                                                                                
                                                                                                                 
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                        
                                                                                                                         
                                                                                                                          
7ꢁꢀ  
'
                                                   
                                                    
                                               
                                                 
6
                                                  
ꢂꢀ  
                                                       
                                                       
                                                         
                                                          
                                                           
                                                                                                 
                                                                                                 
                                                                                                   
                                                                                                    
                                                                                                     
ꢊꢀ  
                                                                                                         
                                                                                                          
                                                                                                           
                                                                                                            
&ꢌ$  
ꢄꢀ  
2'  
                                 
                                   
                                    
ꢂꢀꢇꢀ3  
3  
                                        
                                        
                                          
                                           
                                           
                                                                                                                
                                                                                                                 
                                                                                                                  
                                                                                                                   
                                                                                                                    
7
                                                                                                                                                                                    
9ꢀ  
                                                       
                                                       
                                                         
                                                          
                                                           
                                                                                                 
                                                                                                 
                                                                                                   
                                                                                                    
                                                                                                     
''  
                                                                       
                                                                        
4ꢀ  
                                                                                                                                                             
9ꢀ  
                                        
                                        
                                          
                                           
                                           
                                                                                                                
                                                                                                                 
                                                                                                                  
                                                                                                                   
                                                                                                                    
ꢆꢀꢇꢀ '  
ꢂꢀ  
                                                                                                                        
                                                                                                                         
                                                                                                                          
4ꢄ  
ꢊꢀ  
ꢋꢀ  
66  
                                                   
'
                                               
                                                
                                                 
ꢈꢀ  
                                                       
                                                       
                                                         
                                                          
                                                           
                                                                                                 
                                                                                                 
                                                                                                   
                                                                                                    
                                                                                                     
ꢁꢀ  
ꢈꢀ  
                                                                                                         
                                                                                                          
ꢄꢅ  
ꢉꢀ  
                                                                                                           
4ꢄ  
4
0
3
                                        
                                        
                                          
                                           
                                           
                                                                                                                
                                                                                                                 
                                                                                                                  
                                                                                                                   
                                                                                                                    
'
'
                                 
                                   
                                    
4ꢄ  
 
ꢇꢀ 9ꢀ  
66  
                                                                                                                                                                                    
9ꢀ  
                                                       
                                                       
                                                         
                                                          
                                                           
                                                                                                 
                                                                                                 
                                                                                                   
                                                                                                    
                                                                                                     
                                                                                                         
                                                                                                          
66  
                                                                       
                                 
                                   
                                    
ꢉꢀꢇ3  
                                        
                                        
                                          
                                           
                                           
                                                                                                                
                                                                                                                 
                                                                                                                  
                                                                                                                   
                                                                                                                    
ꢄꢀꢇꢀ 1  
ꢃꢀ  
                                                                                                                        
46  
&ꢀ  
4ꢄ  
'
'
                                               
6
                                                
                                                  
ꢉꢀ  
ꢉꢀ  
                                                       
                                                       
                                                         
                                                          
                                                           
                                                                                                 
                                                                                                 
                                                                                                   
                                                                                                    
                                                                                                     
4
66  
                                                                                                                                                             
3
                                        
                                        
                                          
                                           
                                           
                                                                                                                
                                                                                                                 
                                                                                                                  
                                                                                                                   
                                                                                                                    
9ꢀ  
ꢇꢀ  
ꢇꢀ '  
                                                                                                                        
                                                                                                                         
                                                                                                                          
66  
                                                   
                                               
                                                
                                                 
                                                       
                                                       
                                                         
                                                          
                                                           
                                                                                                 
                                                                                                 
                                                                                                   
                                                                                                    
                                                                                                     
ꢊꢀ  
ꢋꢀ  
                                                                                                         
                                                                                                          
ꢄꢆ  
ꢉꢉ  
                                                                                                           
4ꢄ  
4
4
'
'
                                 
                                   
                                    
3  
                                        
                                        
                                          
                                           
                                           
                                                                                                                
                                                                                                                 
                                                                                                                  
                                                                                                                   
                                                                                                                    
4
4ꢉ  
ꢅꢀꢇꢀ 9ꢀ  
66  
                                                                                                                                                                                    
9ꢀ  
                                                       
                                                       
                                                         
                                                          
                                                           
                                                                                                 
                                                                                                 
                                                                                                   
                                                                                                    
                                                                                                     
                                                                                                         
                                                                                                          
                                                                                                           
66  
                                                                       
3
                                        
                                        
                                          
                                           
                                           
                                                                                                                
                                                                                                                 
                                                                                                                  
                                                                                                                   
                                                                                                                    
ꢆꢀ  
ꢇꢀ '  
ꢂꢀꢇꢀ '  
                                 
                                   
                                    
 
                                                                                                                        
                                                                                                                         
                                                                                                                          
4ꢉ  
0ꢃ  
ꢃꢀ  
'
'
                                               
                                                
                                                 
ꢂꢀ  
ꢃꢀ  
                                                       
                                                       
                                                         
                                                          
                                                           
                                                                                                 
                                                                                                 
                                                                                                   
                                                                                                    
                                                                                                     
4
66  
                                                                                                                                                             
9ꢀ  
3  
ꢃꢀꢇ3  
3  
3  
                                        
                                        
                                          
                                           
                                           
                                                                                                                
                                                                                                                 
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                        
                                                                                                                         
66ꢀ  
                                                   
                                               
                                                
                                                  
                                                       
                                                       
                                                         
                                                          
                                                           
                                                                                                 
                                                                                                 
                                                                                                   
                                                                                                    
                                                                                                     
ꢈꢀ  
ꢉꢀ  
1
'
                                                                                                         
46  
&ꢀ  
4
'
'
                                 
6
                                   
                                    
                                        
                                        
                                          
                                           
                                           
                                                                                                                
                                                                                                                 
                                                                                                                  
                                                                                                                   
                                                                                                                    
4
ꢄꢀꢇꢀ 9ꢀ  
66  
                                                                                                                                                                                    
9ꢀ  
                                                       
                                                       
                                                         
                                                          
                                                           
                                                                                                 
                                                                                                 
                                                                                                   
                                                                                                    
                                                                                                     
                                                                                                         
                                                                                                          
ꢉꢊ  
                                                                                                           
66  
                                                                       
                                 
                                   
                                    
                                        
                                        
                                          
                                           
                                           
                                                                                                                
                                                                                                                 
                                                                                                                  
                                                                                                                   
                                                                                                                    
ꢃꢀꢇꢀ '  
ꢅꢀꢇꢀ '  
ꢆꢀ  
ꢇꢀ 9ꢀ  
66ꢀ  
                                                                                                                        
                                                                                                                         
                                                                                                                          
4ꢉ  
4ꢉ  
4ꢃ  
ꢅꢀ  
ꢈꢀ  
'
'
                                               
                                                
                                                 
ꢄꢀ  
ꢋꢀ  
                                                       
                                                       
                                                         
                                                          
                                                           
                                                                                                 
                                                                                                 
                                                                                                   
                                                                                                    
                                                                                                     
4
ꢊꢀ 9ꢀ  
66  
                                                                                                                                                             
9ꢀ  
                                        
                                        
                                          
                                           
                                           
                                                                                                                
                                                                                                                 
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                        
                                                                                                                         
                                                                                                                          
66ꢀ  
                                                   
                                               
                                                
                                                 
                                                       
                                                       
                                                         
                                                          
                                                           
                                                                                                 
                                                                                                 
                                                                                                   
                                                                                                    
                                                                                                     
ꢋꢀ  
ꢁꢀ  
                                                                                                         
                                                                                                          
ꢃꢄ  
                                                                                                           
4ꢉ  
4
.ꢈꢀ  
3
                                        
                                        
                                          
                                           
                                           
                                                                                                                
                                                                                                                 
                                                                                                                  
                                                                                                                   
                                                                                                                    
'
                                 
                                   
                                    
4ꢉ  
 
                                                                                                                                                                                    
9ꢀ  
                                                       
                                                       
                                                         
                                                          
                                                           
                                                                                                 
                                                                                                 
                                                                                                   
                                                                                                    
                                                                                                     
                                                                                                         
                                                                                                          
66  
                                                                       
6
                                 
$
                                  
ꢈꢀ 3  
                                        
                                        
                                          
                                           
                                           
                                                                                                                
                                                                                                                 
                                                                                                                  
                                                                                                                   
                                                                                                                    
ꢂꢀꢇꢀ &.ꢈꢀ  
                                                                                                                        
                                                                                                                         
1
'
                                               
6
                                                       
                                                       
                                                         
                                                          
                                                           
                                                                                                 
                                                                                                 
                                                                                                   
                                                                                                    
                                                                                                     
&
4
ꢈꢀ 9ꢀ  
ꢇꢀ  
66ꢀ  
                                                                                                                                                             
3
                                        
                                        
                                          
                                           
                                           
                                                                                                                
                                                                                                                 
                                                                                                                  
                                                                                                                   
                                                                                                                    
ꢄꢀ  
ꢇꢀ '  
9ꢀ  
ꢇꢀ  
                                                                                                                        
                                                                                                                         
0ꢊ  
66ꢀ  
                                                   
                                               
                                                
                                                  
ꢊꢀ  
                                                       
                                                       
                                                         
                                                          
                                                           
                                                                                                 
                                                                                                 
                                                                                                   
                                                                                                    
                                                                                                     
ꢉꢀ  
ꢊꢀ  
1
'
                                                                                                         
&ꢀ  
4
'
'
                                 
6
                                   
                                    
ꢊꢀꢇ3  
                                        
                                        
                                          
                                           
                                           
                                                                                                                
                                                                                                                 
                                                                                                                  
                                                                                                                   
                                                                                                                    
4
4ꢃ  
ꢃꢀꢇꢀ 9ꢀ  
66  
                                                                                                                                                                                    
9ꢀ  
                                                       
                                                       
                                                         
                                                          
                                                           
                                                                                                 
                                                                                                 
                                                                                                   
                                                                                                    
                                                                                                     
                                                                                                         
                                                                                                          
ꢃꢉ  
                                                                                                           
66  
                                                                       
3
3  
                                        
                                        
                                          
                                           
                                           
                                                                                                                
                                                                                                                 
                                                                                                                  
                                                                                                                   
                                                                                                                    
ꢅꢀ  
ꢇꢀ '  
ꢆꢀꢇꢀ '  
                                 
                                   
                                    
 
                                                                                                                        
                                                                                                                         
                                                                                                                          
4ꢃ  
4ꢊ  
ꢃꢀ  
ꢁꢀ  
'
'
                                               
                                                
                                                 
ꢂꢀ  
ꢊꢀ  
                                                       
                                                       
                                                         
                                                          
                                                           
                                                                                                 
                                                                                                 
                                                                                                   
                                                                                                    
                                                                                                     
4
66  
                                                                                                                                                             
9ꢀ  
                                        
                                        
                                          
                                           
                                           
                                                                                                                
                                                                                                                 
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                        
                                                                                                                         
                                                                                                                          
66ꢀ  
                                                   
                                               
                                                
                                                 
                                                       
                                                       
                                                         
                                                          
                                                           
                                                                                                 
                                                                                                 
                                                                                                   
                                                                                                    
                                                                                                     
ꢁꢀ  
ꢈꢀ  
                                                                                                         
                                                                                                          
ꢊꢂ  
ꢅꢀ  
                                                                                                           
4ꢃ  
4
0
'
'
                                 
                                   
                                    
3  
ꢅꢀꢇ3  
3  
                                        
                                        
                                          
                                           
                                           
                                                                                                                
                                                                                                                 
                                                                                                                  
                                                                                                                   
                                                                                                                    
4
ꢂꢀꢇꢀ 9ꢀ  
66  
                                                                                                                                                                                    
9ꢀ  
                                                       
                                                       
                                                         
                                                          
                                                           
                                                                                                 
                                                                                                 
                                                                                                   
                                                                                                    
                                                                                                     
                                                                                                         
                                                                                                          
66ꢀ  
                                                                       
                                 
                                   
                                    
                                        
                                        
                                          
                                           
                                           
                                                                                                                
                                                                                                                 
                                                                                                                  
                                                                                                                   
                                                                                                                    
ꢄꢀꢇꢀ 1  
ꢃꢀꢇꢀ '  
                                                                                                                        
46  
&ꢀ  
'
'
                                               
6
                                                
                                                  
ꢅꢀ  
ꢋꢀ  
                                                       
                                                       
                                                         
                                                          
                                                           
                                                                                                 
                                                                                                 
                                                                                                   
                                                                                                    
                                                                                                     
4
ꢉꢀ 9ꢀ  
66  
                                                                                                                                                             
9ꢀ  
                                        
                                        
                                          
                                           
                                           
                                                                                                                
                                                                                                                 
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                        
                                                                                                                         
                                                                                                                          
4ꢊ  
ꢈꢀ  
66ꢀ  
                                                   
                                               
                                                
                                                 
                                                       
                                                       
                                                         
                                                          
                                                           
                                                                                                 
                                                                                                 
                                                                                                   
                                                                                                    
                                                                                                     
ꢊꢀ  
                                                                                                         
                                                                                                          
ꢊꢄ  
                                                                                                           
4ꢃ  
4
3
                                        
                                        
                                          
                                           
                                           
                                                                                                                
                                                                                                                 
                                                                                                                  
                                                                                                                   
                                                                                                                    
ꢅꢀ  
ꢆꢀ  
'
                                 
                                   
                                    
4ꢃ  
 
9ꢀ  
6$ꢁꢀ  
66ꢀ  
                                                                                                                                                                                    
9ꢀ  
                                                       
                                                       
                                                         
                                                          
                                                           
                                                                                                 
                                                                                                 
                                                                                                   
                                                                                                    
                                                                                                     
ꢋꢀ 9ꢀ '6  
6$ꢂꢀ  
66  
                                                                       
' 3'ꢀ  
                                                                                                                                                             
                                                                                                                                                              
                                                                                                                                                               
                                                                                                                                                                
6
                                 
'
                                   
3  
                                        
                                        
                                          
                                           
                                           
                                                                                                                
                                                                                                                 
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                        
                                                                                                                         
$
6
                                               
&
                                                
                                                       
                                                       
                                                         
                                                          
                                                           
                                                                                                 
                                                                                                 
                                                                                                   
                                                                                                    
                                                                                                     
ꢁꢀ  
                                                                                                         
                                                                                                          
/
0
                                                                                                                                 
33  
                                                                                                                                  
                                                                                                                                   
7
                                                                                                                                    
ꢁꢂꢃꢁꢀ  
                                                                                                                                     
                                                                                                                                      
                                                                                                                                       
Internet Data Sheet  
HYS[64/72]T[16/32/64]0xxHU–[2.5/../5]–A  
Unbuffered DDR2 SDRAM Modules  
FIGURE 1  
Pin Configuration UDIMM ×64 (240 Pin)  
9
'
9ꢀ  
'
'
9ꢀ  
'
'
9ꢀ  
1
'
9ꢀ  
'
'
9ꢀ  
'
'
9ꢀ  
'
'
9ꢀ  
1
1
                                 
5
4
                                   
(
                                    
)ꢀꢇꢀ 3  
ꢇꢀ 3  
ꢇꢀ 3  
ꢁꢀꢇꢀ 3  
ꢇꢀ 3  
ꢇꢀ 3  
ꢇꢀ 3  
ꢂꢀꢇꢀ 3  
ꢇꢀ 3  
                                        
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
                                        
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
                                          
ꢁꢁ  
ꢁꢁ  
ꢁꢁ  
ꢁꢁ  
ꢁꢁ  
ꢁꢂ  
ꢁꢂ  
ꢁꢂ  
ꢁꢂ  
ꢁꢂ  
ꢁꢈ  
ꢁꢈ  
ꢁꢈ  
ꢁꢈ  
ꢁꢈ  
ꢁꢄ  
ꢁꢄ  
ꢁꢄ  
ꢁꢄ  
ꢁꢄ  
ꢁꢉ  
ꢁꢉ  
ꢁꢉ  
ꢁꢉ  
ꢁꢉ  
ꢁꢃ  
ꢁꢃ  
ꢁꢃ  
ꢁꢃ  
ꢁꢃ  
ꢁꢊ  
ꢁꢊ  
                                           
                                           
ꢂꢀ  
ꢄꢀ  
ꢃꢀ  
ꢅꢀ  
ꢆꢀ  
ꢂꢀ  
ꢄꢀ  
ꢃꢀ  
ꢅꢀ  
ꢆꢀ  
ꢂꢀ  
ꢄꢀ  
ꢃꢀ  
ꢅꢀ  
ꢆꢀ  
ꢂꢀ  
ꢄꢀ  
ꢃꢀ  
ꢅꢀ  
ꢆꢀ  
ꢂꢀ  
ꢄꢀ  
ꢃꢀ  
ꢅꢀ  
ꢆꢀ  
ꢂꢀ  
ꢄꢀ  
ꢃꢀ  
ꢅꢀ  
ꢆꢀ  
ꢂꢀ  
ꢄꢀ  
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
                                                                                                                
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
                                                                                                                 
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
                                                                                                                  
ꢂꢈ  
ꢂꢈ  
ꢂꢈ  
ꢂꢈ  
ꢂꢈ  
ꢂꢄ  
ꢂꢄ  
ꢂꢄ  
ꢂꢄ  
ꢂꢄ  
ꢂꢉ  
ꢂꢉ  
ꢂꢉ  
ꢂꢉ  
ꢂꢉ  
ꢂꢃ  
ꢂꢃ  
ꢂꢃ  
ꢂꢃ  
ꢂꢃ  
ꢂꢊ  
ꢂꢊ  
ꢂꢊ  
ꢂꢊ  
ꢂꢊ  
ꢂꢅ  
ꢂꢅ  
ꢂꢅ  
ꢂꢅ  
ꢂꢅ  
ꢂꢋ  
ꢂꢋ  
                                                                                                                   
                                                                                                                    
ꢂꢀꢇꢀ 9ꢀ  
66ꢀ  
9ꢀ  
66ꢀ  
ꢇꢀ 3  
ꢇꢀ 3  
ꢇꢀ 3  
ꢇꢀ 3  
ꢇꢀ 3  
ꢇꢀ 3  
ꢇꢀ 3  
ꢇꢀ 3  
ꢇꢀ 3  
ꢇꢀ 3  
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
ꢈꢀ  
ꢉꢀ  
ꢊꢀ  
ꢋꢀ  
ꢁꢀ  
ꢈꢀ  
ꢉꢀ  
ꢊꢀ  
ꢋꢀ  
ꢁꢀ  
ꢈꢀ  
ꢉꢀ  
ꢊꢀ  
ꢋꢀ  
ꢁꢀ  
ꢈꢀ  
ꢉꢀ  
ꢊꢀ  
ꢋꢀ  
ꢁꢀ  
ꢈꢀ  
ꢉꢀ  
ꢊꢀ  
ꢋꢀ  
ꢁꢀ  
ꢈꢀ  
ꢉꢀ  
ꢊꢀ  
ꢋꢀ  
ꢁꢀ  
ꢈꢀ  
ꢉꢀ  
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
ꢂꢈ  
ꢂꢈ  
ꢂꢈ  
ꢂꢈ  
ꢂꢄ  
ꢂꢄ  
ꢂꢄ  
ꢂꢄ  
ꢂꢄ  
ꢂꢉ  
ꢂꢉ  
ꢂꢉ  
ꢂꢉ  
ꢂꢉ  
ꢂꢃ  
ꢂꢃ  
ꢂꢃ  
ꢂꢃ  
ꢂꢃ  
ꢂꢊ  
ꢂꢊ  
ꢂꢊ  
ꢂꢊ  
ꢂꢊ  
ꢂꢅ  
ꢂꢅ  
ꢂꢅ  
ꢂꢅ  
ꢂꢅ  
ꢂꢋ  
ꢂꢋ  
ꢂꢋ  
ꢈꢀ ꢇꢀ'  
ꢇꢀ  
4
ꢉꢀ  
ꢄꢀꢇꢀ '  
ꢃꢀꢇꢀ '  
4ꢃ  
0ꢁ  
'
'
4
4
6ꢁ  
ꢉꢀ 9ꢀ  
66  
66  
4
4
6
ꢊꢀ ꢇꢀ1  
ꢇꢀ  
&ꢀ  
4
ꢅꢀꢇꢀ 9ꢀ  
66  
9ꢀ  
ꢋꢀ  
'
ꢊꢀ  
66  
ꢆꢀꢇꢀ '  
ꢂꢀꢇꢀ '  
4ꢅ  
4ꢂ  
ꢈꢀ  
'
'
4
4
ꢁꢀ ꢇꢀ9ꢀ  
66  
66  
4
4
ꢇꢀ  
'
ꢈꢀ  
4
0
ꢂꢄ  
ꢂꢀ  
ꢄꢀꢇꢀ 9ꢀ  
66  
9ꢀ  
ꢉꢀ ꢇꢀ'  
ꢊꢀ ꢇꢀ9ꢀ  
66  
ꢋꢀ ꢇꢀ&  
ꢁꢀ ꢇꢀ'  
66ꢀ  
6
ꢃꢀꢇꢀ 1  
ꢅꢀꢇꢀ &  
&ꢀ  
.ꢂꢀ  
'
1
4
6ꢂ  
66  
&
4ꢂ  
&
.ꢂꢀ  
4
ꢇꢀ 3  
ꢆꢀꢇꢀ 9ꢀ  
66  
9ꢀ  
ꢂꢉ  
66ꢀ  
ꢇꢀ  
ꢇꢀ  
ꢁꢀ  
'
4ꢂ  
ꢃꢀ  
ꢁꢀ  
3
3
ꢂꢀꢇꢀ  
ꢇꢀ  
ꢇꢀ  
3
ꢇꢀ  
ꢈꢀ 9ꢀ  
'
'
4
ꢂꢀ  
ꢊꢀ  
3
66  
ꢄꢀꢇꢀ '  
4ꢈ  
66ꢀ  
4
4
ꢇꢀ  
'
4ꢂ  
ꢉꢀ  
4
0
ꢈꢂ  
ꢈꢀ  
6
3  
ꢈꢀꢇ3  
3  
3  
3  
3  
ꢄꢀꢇ3  
3  
3  
3  
3  
ꢃꢀꢇꢀ 9ꢀ  
66  
)ꢀ  
5ꢀ  
2ꢀ  
1ꢀ  
7ꢀ  
6ꢀ  
,ꢀ  
ꢇꢀ  
'
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
9ꢀ  
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
ꢊꢀ  
%ꢀ  
$ꢀ  
&ꢀ  
.ꢀ  
6ꢀ  
,ꢀ  
66ꢀ  
ꢅꢀꢇꢀ 1  
ꢆꢀꢇꢀ '  
&ꢀ  
4ꢈ  
ꢇꢀ  
ꢋꢀ 9ꢀ  
'
'
4
6
ꢈꢀ  
ꢋꢀ  
66  
ꢈꢀ  
ꢆꢀ  
66  
ꢇꢀ  
'
ꢇꢀ  
'
4ꢂ  
ꢁꢀ  
ꢈꢀ  
4
4
ꢈꢄ  
ꢈꢋ  
4
4
ꢂꢀꢇꢀ 9ꢀ  
66  
9ꢀ  
66  
ꢄꢀꢇꢀ '  
ꢃꢀꢇꢀ '  
4ꢈ  
0ꢄ  
ꢇꢀ  
ꢉꢀ 9ꢀ  
'
'
4
ꢃꢀ  
ꢄꢀ  
66  
66ꢀ  
ꢇꢀ  
1
ꢇꢀ  
'
46  
ꢊꢀ  
ꢋꢀ  
&ꢀ  
4
4
4
6
ꢅꢀꢇꢀ 9ꢀ  
'ꢀ  
(ꢀ  
66  
9ꢀ  
ꢄꢁ  
66  
'ꢀ  
(ꢀ  
ꢆꢀꢇꢀ '  
ꢂꢀꢇꢀ 1  
4ꢄ  
&ꢀ  
ꢂꢀ  
ꢇꢀ  
ꢁꢀ 9ꢀ  
'
1
4
ꢅꢀ  
66  
66  
&
&
ꢇꢀ  
1
&
ꢈꢀ  
&ꢀ  
&ꢀ  
ꢄꢀꢇꢀ 9ꢀ  
66  
ꢇꢀ  
1
9ꢀ  
ꢉꢀ  
66  
ꢃꢀꢇꢀ 1  
ꢅꢀꢇꢀ 1  
&ꢀ  
&ꢀ  
ꢇꢀ  
1
1
&
&
ꢊꢀ 9ꢀ  
66  
9ꢀ  
1
3  
3  
66  
ꢇꢀ  
ꢇꢀ  
ꢋꢀ  
1
&ꢀ  
&
ꢆꢀꢇꢀ 9ꢀ  
66  
9ꢀ  
ꢁꢀ 9ꢀ '4  
66  
'
9ꢀ '4 3  
9ꢀ 'ꢀ 3  
1
$
9ꢀ 'ꢀ 3  
$
$
ꢂꢀꢇꢀ &  
ꢄꢀꢇꢀ 1  
.
&ꢀ  
(
ꢂꢀ  
'
ꢇꢀ  
&
.
(
ꢁꢀ  
ꢈꢀ 9ꢀ 'ꢀ  
'
'
ꢇꢀ  
ꢇꢀ  
ꢈꢀ  
1
&
%
$
ꢉꢀ  
ꢊꢀ  
$
$
ꢉꢀ  
ꢈꢀ  
&
ꢂꢂ  
3  
3  
ꢃꢀꢇꢀ 9ꢀ  
''4ꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
9ꢀ  
''  
4ꢀ  
ꢅꢀꢇꢀ $  
ꢆꢀꢇꢀ $  
ꢆꢀ  
ꢋꢀ  
$
$
ꢅꢀ  
ꢃꢀ  
ꢋꢀ 9ꢀ 'ꢀ  
'
'
ꢇꢀ  
$
ꢇꢀ  
$
ꢁꢀ  
ꢈꢀ  
ꢊꢀ  
ꢄꢀ  
ꢉꢀ  
ꢈꢀ  
3  
3  
ꢂꢀꢇꢀ 9ꢀ  
''4ꢀ  
9ꢀ  
''  
4ꢀ  
ꢄꢀꢇꢀ $  
ꢂꢀ  
ꢇꢀ  
9ꢀ  
ꢉꢀ 9ꢀ 'ꢀ  
''  
'
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
1
ꢇꢀ  
ꢋꢀ 9ꢀ  
66ꢀ  
ꢇꢀ  
'
ꢇꢀ  
'
ꢇꢀ  
ꢉꢀ 9ꢀ  
ꢇꢀ  
'
ꢇꢀ  
'
ꢇꢀ  
ꢁꢀ 9ꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
'
ꢇꢀ  
&
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢋꢀ 9ꢀ  
ꢇꢀ  
'
ꢇꢀ  
'
ꢇꢀ  
ꢇꢀ  
'
Rev. 1.41, 2006-11  
03062006-0GN5-WTPW  
13  
                                                                                                                                                                                    
                                                       
                                                       
                                                         
                                                          
                                                           
                                                                                                 
                                                                                                 
                                                                                                   
                                                                                                    
                                                                                                     
                                                                                                         
                                                                                                          
                                                                       
                                 
                                   
                                        
                                        
                                          
                                           
                                           
                                                                                                                
                                                                                                                 
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                        
                                                                                                                         
                                               
                                                
                                                       
                                                       
                                                         
                                                          
                                                           
                                                                                                 
                                                                                                 
                                                                                                   
                                                                                                    
                                                                                                     
                                                                                                                                                             
                                        
                                        
                                          
                                           
                                           
                                                                                                                
                                                                                                                 
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                        
                                                                                                                         
                                                   
                                               
                                                
                                                  
                                                       
                                                       
                                                         
                                                          
                                                           
                                                                                                 
                                                                                                 
                                                                                                   
                                                                                                    
                                                                                                     
                                                                                                         
                                 
                                   
                                    
                                        
                                        
                                          
                                           
                                           
                                                                                                                
                                                                                                                 
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                                                                                    
                                                       
                                                       
                                                         
                                                          
                                                           
                                                                                                 
                                                                                                 
                                                                                                   
                                                                                                    
                                                                                                     
                                                                                                         
                                                                                                          
                                                                       
                                 
                                   
                                        
                                        
                                          
                                           
                                           
                                                                                                                
                                                                                                                 
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                        
                                                                                                                         
                                               
                                                
                                                       
                                                       
                                                         
                                                          
                                                           
                                                                                                 
                                                                                                 
                                                                                                   
                                                                                                    
                                                                                                     
                                                                                                                                                             
                                        
                                        
                                          
                                           
                                           
                                                                                                                
                                                                                                                 
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                        
                                                                                                                         
                                                                                                                          
                                                   
                                               
                                                
                                                       
                                                       
                                                         
                                                          
                                                           
                                                                                                 
                                                                                                 
                                                                                                   
                                                                                                    
                                                                                                     
                                                                                                         
                                                                                                          
                                                                                                           
                                 
                                   
                                        
                                        
                                          
                                           
                                           
                                                                                                                
                                                                                                                 
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                                                                                    
                                                                       
                                                       
                                                       
                                                         
                                                          
                                                           
                                                                                                 
                                                                                                 
                                                                                                   
                                                                                                    
                                                                                                     
                                                                                                         
                                                                                                          
                                 
                                   
                                    
                                        
                                        
                                          
                                           
                                           
                                                                                                                
                                                                                                                 
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                        
                                               
                                                
                                                  
                                                       
                                                       
                                                         
                                                          
                                                           
                                                                                                 
                                                                                                 
                                                                                                   
                                                                                                    
                                                                                                     
                                                                                                         
                                        
                                        
                                          
                                           
                                           
                                                                                                                
                                                                                                                 
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                        
                                                                                                                         
                                                   
                                               
                                                       
                                                       
                                                         
                                                          
                                                           
                                                                                                 
                                                                                                 
                                                                                                   
                                                                                                    
                                                                                                     
                                                                                                         
                                                                                                          
                                 
                                        
                                        
                                          
                                           
                                           
                                                                                                                
                                                                                                                 
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                                                                                    
                                                       
                                                       
                                                         
                                                          
                                                           
                                                                                                 
                                                                                                 
                                                                                                   
                                                                                                    
                                                                                                     
                                                                                                         
                                                                                                          
                                                                                                           
                                                                       
                                 
                                   
                                    
                                                                                                                        
                                                                                                                         
                                                                                                                          
                                        
                                        
                                          
                                           
                                           
                                                                                                                
                                                                                                                 
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                               
                                                
                                                 
                                                       
                                                       
                                                         
                                                          
                                                           
                                                                                                 
                                                                                                 
                                                                                                   
                                                                                                    
                                                                                                     
                                                                                                                                                             
                                        
                                        
                                          
                                           
                                           
                                                                                                                
                                                                                                                 
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                        
                                                                                                                         
                                                                                                                          
                                                   
                                               
                                                
                                                 
                                                       
                                                       
                                                         
                                                          
                                                           
                                                                                                 
                                                                                                 
                                                                                                   
                                                                                                    
                                                                                                     
                                                                                                         
                                                                                                          
                                                                                                           
                                 
                                   
                                    
                                        
                                        
                                          
                                           
                                           
                                                                                                                
                                                                                                                 
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                                                                                    
                                                       
                                                       
                                                         
                                                          
                                                           
                                                                                                 
                                                                                                 
                                                                                                   
                                                                                                    
                                                                                                     
                                                                                                         
                                                                                                          
                                                                       
                                 
                                   
                                    
                                        
                                        
                                          
                                           
                                           
                                                                                                                
                                                                                                                 
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                        
                                               
                                                
                                                  
                                                       
                                                       
                                                         
                                                          
                                                           
                                                                                                 
                                                                                                 
                                                                                                   
                                                                                                    
                                                                                                     
                                                                                                                                                             
                                        
                                        
                                          
                                           
                                           
                                                                                                                
                                                                                                                 
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                        
                                                                                                                         
                                                                                                                          
                                                   
                                                       
                                                       
                                                         
                                                          
                                                           
                                                                                                 
                                                                                                 
                                                                                                   
                                                                                                    
                                                                                                     
                                                                                                         
                                                                                                          
                                                                                                           
                                                                       
                                 
                                   
                                    
                                        
                                        
                                          
                                           
                                           
                                                                                                                
                                                                                                                 
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                                                                                    
                                                       
                                                       
                                                         
                                                          
                                                           
                                                                                                 
                                                                                                 
                                                                                                   
                                                                                                    
                                                                                                     
                                                                                                         
                                                                                                          
                                                                                                           
                                                                       
                                 
                                   
                                    
                                        
                                        
                                          
                                           
                                           
                                                                                                                
                                                                                                                 
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                        
                                                                                                                         
                                                                                                                          
                                               
                                                
                                                 
                                                       
                                                       
                                                         
                                                          
                                                           
                                                                                                 
                                                                                                 
                                                                                                   
                                                                                                    
                                                                                                     
                                                                                                                                                             
                                        
                                        
                                          
                                           
                                           
                                                                                                                
                                                                                                                 
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                        
                                                                                                                         
                                                   
                                               
                                                
                                                  
                                                       
                                                       
                                                         
                                                          
                                                           
                                                                                                 
                                                                                                 
                                                                                                   
                                                                                                    
                                                                                                     
                                                                                                         
                                 
                                   
                                    
                                        
                                        
                                          
                                           
                                           
                                                                                                                
                                                                                                                 
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                                                                                    
                                                       
                                                       
                                                         
                                                          
                                                           
                                                                                                 
                                                                                                 
                                                                                                   
                                                                                                    
                                                                                                     
                                                                                                         
                                                                                                          
                                                                                                           
                                                                       
                                 
                                   
                                    
                                        
                                        
                                          
                                           
                                           
                                                                                                                
                                                                                                                 
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                        
                                                                                                                         
                                                                                                                          
                                               
                                                
                                                 
                                                       
                                                       
                                                         
                                                          
                                                           
                                                                                                 
                                                                                                 
                                                                                                   
                                                                                                    
                                                                                                     
                                                                                                                                                             
                                        
                                        
                                          
                                           
                                           
                                                                                                                
                                                                                                                 
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                        
                                                                                                                         
                                                   
                                               
                                                
                                                       
                                                       
                                                         
                                                          
                                                           
                                                                                                 
                                                                                                 
                                                                                                   
                                                                                                    
                                                                                                     
                                                                                                         
                                                                                                          
                                 
                                   
                                        
                                        
                                          
                                           
                                           
                                                                                                                
                                                                                                                 
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                                                                                    
                                                       
                                                       
                                                         
                                                          
                                                           
                                                                                                 
                                                                                                 
                                                                                                   
                                                                                                    
                                                                                                     
                                                                                                         
                                                                                                          
                                                                       
                                 
                                   
                                    
                                        
                                        
                                          
                                           
                                           
                                                                                                                
                                                                                                                 
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                        
                                               
                                                
                                                  
                                                       
                                                       
                                                         
                                                          
                                                           
                                                                                                 
                                                                                                 
                                                                                                   
                                                                                                    
                                                                                                     
                                                                                                                                                             
                                        
                                        
                                          
                                           
                                           
                                                                                                                
                                                                                                                 
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                        
                                                                                                                         
                                                   
                                               
                                                
                                                       
                                                       
                                                         
                                                          
                                                           
                                                                                                 
                                                                                                 
                                                                                                   
                                                                                                    
                                                                                                     
                                                                                                         
                                                                                                          
                                 
                                   
                                        
                                        
                                          
                                           
                                           
                                                                                                                
                                                                                                                 
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                                                                                    
                                                       
                                                       
                                                         
                                                          
                                                           
                                                                                                 
                                                                                                 
                                                                                                   
                                                                                                    
                                                                                                     
                                                                       
                                                                                                                                                             
                                                                                                                                                              
                                        
                                        
                                          
                                           
                                           
                                                                                                                
                                                                                                                 
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                        
                                                                                                                         
                                                                                                                          
                                                   
                                                    
                                               
                                                
                                                 
                                                       
                                                       
                                                         
                                                          
                                                           
                                                                                                 
                                                                                                 
                                                                                                   
                                                                                                    
                                                                                                     
                                                                                                                                                             
                                        
                                        
                                          
                                           
                                           
                                                                                                                
                                                                                                                 
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                        
                                                   
                                               
                                                 
                                                  
                                                   
                                                       
                                                       
                                                         
                                                          
                                                           
                                                                                                 
                                                                                                 
                                                                                                   
                                                                                                    
                                                                                                     
                                                                                                        
                                                                                                          
                                 
                                        
                                        
                                          
                                           
                                           
                                                                                                                
                                                                                                                 
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                                                                                    
                                                                                                                                                                                     
                                                       
                                                       
                                                         
                                                          
                                                           
                                                                                                 
                                                                                                 
                                                                                                   
                                                                                                    
                                                                                                     
                                                                                                        
                                                                                                          
                                                                       
                                                                         
                                 
                                  
                                        
                                        
                                          
                                           
                                           
                                                                                                                
                                                                                                                 
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                        
                                               
                                                       
                                                       
                                                         
                                                          
                                                           
                                                                                                 
                                                                                                 
                                                                                                   
                                                                                                    
                                                                                                     
                                                                                                                                                             
                                        
                                        
                                          
                                           
                                           
                                                                                                                
                                                                                                                 
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                        
                                                   
                                               
                                                       
                                                       
                                                         
                                                          
                                                           
                                                                                                 
                                                                                                 
                                                                                                   
                                                                                                    
                                                                                                     
                                                                                                        
                                 
                                        
                                        
                                          
                                           
                                           
                                                                                                                
                                                                                                                 
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                                                                                    
                                                                                                                                                                                     
                                                       
                                                       
                                                         
                                                          
                                                           
                                                                                                 
                                                                                                 
                                                                                                   
                                                                                                    
                                                                                                     
                                                                                                        
                                                                       
                                                                         
                                 
                                        
                                        
                                          
                                           
                                           
                                                                                                                
                                                                                                                 
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                        
                                                       
                                                       
                                                         
                                                          
                                                           
                                                                                                 
                                                                                                 
                                                                                                   
                                                                                                    
                                                                                                     
                                                                       
                                                                                                                                                             
9ꢀ  
66ꢀ  
3  
                                        
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
                                        
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
                                          
ꢁꢊ  
ꢁꢊ  
ꢁꢊ  
ꢁꢅ  
ꢁꢅ  
ꢁꢅ  
ꢁꢅ  
ꢁꢅ  
ꢁꢋ  
ꢁꢋ  
ꢁꢋ  
ꢁꢋ  
ꢁꢋ  
ꢁꢆ  
ꢁꢆ  
ꢁꢆ  
ꢁꢆ  
ꢁꢆ  
ꢂꢁ  
ꢂꢁ  
ꢂꢁ  
ꢂꢁ  
ꢂꢁ  
ꢂꢂ  
ꢂꢂ  
ꢂꢂ  
ꢂꢂ  
ꢂꢂ  
                                           
                                           
ꢃꢀ  
ꢅꢀ  
ꢆꢀ  
ꢂꢀ  
ꢄꢀ  
ꢃꢀ  
ꢅꢀ  
ꢆꢀ  
ꢂꢀ  
ꢄꢀ  
ꢃꢀ  
ꢅꢀ  
ꢆꢀ  
ꢂꢀ  
ꢄꢀ  
ꢃꢀ  
ꢅꢀ  
ꢆꢀ  
ꢂꢀ  
ꢄꢀ  
ꢃꢀ  
ꢅꢀ  
ꢆꢀ  
ꢂꢀ  
ꢄꢀ  
ꢃꢀ  
ꢅꢀ  
ꢆꢀ  
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
                                                                                                                
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
                                                                                                                 
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
                                                                                                                  
ꢂꢋ  
ꢂꢋ  
ꢂꢋ  
ꢂꢆ  
ꢂꢆ  
ꢂꢆ  
ꢂꢆ  
ꢂꢆ  
ꢈꢁ  
ꢈꢁ  
ꢈꢁ  
ꢈꢁ  
ꢈꢁ  
ꢈꢂ  
ꢈꢂ  
ꢈꢂ  
ꢈꢂ  
ꢈꢂ  
ꢈꢈ  
ꢈꢈ  
ꢈꢈ  
ꢈꢈ  
ꢈꢈ  
ꢈꢄ  
ꢈꢄ  
ꢈꢄ  
ꢈꢄ  
ꢈꢄ  
                                                                                                                   
                                                                                                                    
ꢃꢀꢇꢀ &  
                                                                                                                        
                                                                                                                         
.ꢁꢀ  
                                                   
9ꢀ 6ꢀ  
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
                                                       
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
                                                       
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
                                                         
                                                          
                                                           
ꢊꢀ  
ꢋꢀ  
ꢁꢀ  
ꢈꢀ  
ꢉꢀ  
ꢊꢀ  
ꢋꢀ  
ꢁꢀ  
ꢈꢀ  
ꢉꢀ  
ꢊꢀ  
ꢋꢀ  
ꢁꢀ  
ꢈꢀ  
ꢉꢀ  
ꢊꢀ  
ꢋꢀ  
ꢁꢀ  
ꢈꢀ  
ꢉꢀ  
ꢊꢀ  
ꢋꢀ  
ꢁꢀ  
ꢈꢀ  
ꢉꢀ  
ꢊꢀ  
ꢋꢀ  
ꢁꢀ  
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
                                                                                                 
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
                                                                                                 
                                                                                                   
ꢂꢋ  
ꢂꢋ  
ꢂꢆ  
ꢂꢆ  
ꢂꢆ  
ꢂꢆ  
ꢂꢆ  
ꢈꢁ  
ꢈꢁ  
ꢈꢁ  
ꢈꢁ  
ꢈꢁ  
ꢈꢂ  
ꢈꢂ  
ꢈꢂ  
ꢈꢂ  
ꢈꢂ  
ꢈꢈ  
ꢈꢈ  
ꢈꢈ  
ꢈꢈ  
ꢈꢈ  
ꢈꢄ  
ꢈꢄ  
ꢈꢄ  
ꢈꢄ  
ꢈꢄ  
ꢈꢉ  
                                                                                                    
                                                                                                     
ꢊꢀ  
ꢋꢀ  
ꢁꢀ  
ꢈꢀ  
&
                                                                                                         
                                                                                                          
.
ꢁꢀ  
ꢁꢀ  
ꢂꢀ  
6
                                                                       
9ꢀ 'ꢀ 3  
                                        
                                        
                                          
                                           
                                           
                                                                                                                
                                                                                                                 
                                                                                                                  
                                                                                                                   
                                                                                                                    
ꢅꢀꢇꢀ 9ꢀ  
ꢆꢀꢇꢀ 9ꢀ  
'
                                                   
''  
                                                                                                                                                                                    
1
                                               
                                                       
                                                       
                                                         
                                                          
                                                           
                                                                                                 
                                                                                                 
                                                                                                   
                                                                                                    
                                                                                                     
$
%
                                                                                                        
&
9ꢀ 'ꢀ 3  
                                        
                                        
                                          
                                           
                                           
                                                                                                                
                                                                                                                 
                                                                                                                  
                                                                                                                   
                                                                                                                    
'
                                                   
''  
                                                                                                                                                                                    
$
                                               
                                                
ꢁꢌ$  
                                                 
                                                  
3ꢀ  
                                                       
                                                       
                                                         
                                                          
                                                           
                                                                                                 
                                                                                                 
                                                                                                   
                                                                                                    
                                                                                                     
                                                                                                         
$
                                                                                                          
%
                                 
$
                                  
ꢁꢀ 3  
                                        
                                        
                                          
                                           
                                           
                                                                                                                
                                                                                                                 
                                                                                                                  
                                                                                                                   
                                                                                                                    
ꢂꢀꢇꢀ 9ꢀ  
''  
                                                                                                                                                                                    
                                                                                                                                                                                     
4ꢀ  
9ꢀ '  
                                                       
                                                       
                                                         
                                                          
                                                           
                                                                                                 
                                                                                                 
                                                                                                   
                                                                                                    
                                                                                                     
5
                                                                                                         
                                                                                                          
$
6ꢀ  
' 4ꢀ  
                                                                       
                                                                         
:
                                  
(ꢀ 3  
                                        
                                        
                                          
                                           
                                           
                                                                                                                
                                                                                                                 
                                                                                                                  
                                                                                                                   
                                                                                                                    
ꢄꢀꢇꢀ 6ꢁꢀ  
ꢃꢀꢇꢀ 2'  
ꢅꢀꢇꢀ 9ꢀ  
''ꢀ  
                                                                                                                        
&
1
                                               
                                                
6ꢀ  
                                                       
                                                       
                                                         
                                                          
                                                           
                                                                                                 
                                                                                                 
                                                                                                   
                                                                                                    
                                                                                                     
$
&
ꢉꢀ 9ꢀ '4  
'
                                                                                                                                                             
                                                                                                                                                              
9ꢀ '4 3  
                                        
                                        
                                          
                                           
                                           
                                                                                                                
                                                                                                                 
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                        
                                                                                                                         
                                                                                                                          
7ꢁꢀ  
'
                                                   
                                                    
                                               
                                                 
6
                                                  
ꢂꢀ  
                                                       
                                                       
                                                         
                                                          
                                                           
                                                                                                 
                                                                                                 
                                                                                                   
                                                                                                    
                                                                                                     
ꢊꢀ  
                                                                                                         
                                                                                                          
                                                                                                           
                                                                                                            
&ꢌ$  
ꢄꢀ  
2'  
                                 
                                   
                                    
ꢂꢀꢇꢀ3  
3  
                                        
                                        
                                          
                                           
                                           
                                                                                                                
                                                                                                                 
                                                                                                                  
                                                                                                                   
                                                                                                                    
7
                                                                                                                                                                                    
9ꢀ '  
                                                       
                                                       
                                                         
                                                          
                                                           
                                                                                                 
                                                                                                 
                                                                                                   
                                                                                                    
                                                                                                     
'
                                                                       
                                                                         
4ꢀ  
                                                                                                                                                             
9ꢀ  
                                        
                                        
                                          
                                           
                                           
                                                                                                                
                                                                                                                 
                                                                                                                  
                                                                                                                   
                                                                                                                    
ꢆꢀꢇꢀ '  
ꢂꢀ  
                                                                                                                        
                                                                                                                         
                                                                                                                          
4ꢄ  
ꢊꢀ  
ꢋꢀ  
66  
                                                   
'
                                               
                                                
                                                 
ꢈꢀ  
                                                       
                                                       
                                                         
                                                          
                                                           
                                                                                                 
                                                                                                 
                                                                                                   
                                                                                                    
                                                                                                     
ꢁꢀ  
ꢈꢀ  
'
'
                                                                                                         
                                                                                                          
ꢄꢅ  
ꢉꢀ  
                                                                                                           
4ꢄ  
4
0
3
                                        
                                        
                                          
                                           
                                           
                                                                                                                
                                                                                                                 
                                                                                                                  
                                                                                                                   
                                                                                                                    
'
'
                                 
                                   
                                    
4ꢄ  
 
ꢇꢀ 9ꢀ  
66  
                                                                                                                                                                                    
9ꢀ 6ꢀ  
                                                       
                                                       
                                                         
                                                          
                                                           
                                                                                                 
                                                                                                 
                                                                                                   
                                                                                                    
                                                                                                     
                                                                                                         
                                                                                                          
6
                                                                       
                                 
                                   
                                    
ꢉꢀꢇ3  
                                        
                                        
                                          
                                           
                                           
                                                                                                                
                                                                                                                 
                                                                                                                  
                                                                                                                   
                                                                                                                    
ꢄꢀꢇꢀ 1  
ꢃꢀ  
                                                                                                                        
46  
&ꢀ  
4ꢄ  
'
'
                                               
                                                
6ꢉ  
                                                  
ꢉꢀ  
                                                       
                                                       
                                                         
                                                          
                                                           
                                                                                                 
                                                                                                 
                                                                                                   
                                                                                                    
                                                                                                     
4
4
66  
                                                                                                                                                             
3
                                        
                                        
                                          
                                           
                                           
                                                                                                                
                                                                                                                 
                                                                                                                  
                                                                                                                   
                                                                                                                    
9ꢀ  
ꢇꢀ  
ꢇꢀ '  
                                                                                                                        
                                                                                                                         
                                                                                                                          
66  
                                                   
                                               
                                                
                                                 
                                                       
                                                       
                                                         
                                                          
                                                           
                                                                                                 
                                                                                                 
                                                                                                   
                                                                                                    
                                                                                                     
ꢊꢀ  
ꢋꢀ  
                                                                                                         
                                                                                                          
ꢄꢆ  
ꢉꢉ  
                                                                                                           
4
4
'
'
                                 
                                   
                                    
3  
                                        
                                        
                                          
                                           
                                           
                                                                                                                
                                                                                                                 
                                                                                                                  
                                                                                                                   
                                                                                                                    
4
4ꢉ  
ꢅꢀꢇꢀ 9ꢀ  
66  
                                                                                                                                                                                    
9ꢀ 6ꢀ  
                                                       
                                                       
                                                         
                                                          
                                                           
                                                                                                 
                                                                                                 
                                                                                                   
                                                                                                    
                                                                                                     
                                                                                                         
                                                                                                          
                                                                                                           
6
                                                                       
3
                                        
                                        
                                          
                                           
                                           
                                                                                                                
                                                                                                                 
                                                                                                                  
                                                                                                                   
                                                                                                                    
ꢆꢀ  
ꢇꢀ '  
ꢂꢀꢇꢀ '  
                                 
                                   
                                    
 
                                                                                                                        
                                                                                                                         
                                                                                                                          
4ꢉ  
0ꢃ  
ꢃꢀ  
'
'
                                               
6ꢃ  
                                                
                                                 
ꢂꢀ  
                                                       
                                                       
                                                         
                                                          
                                                           
                                                                                                 
                                                                                                 
                                                                                                   
                                                                                                    
                                                                                                     
4
4
66  
                                                                                                                                                             
9ꢀ  
3  
ꢃꢀꢇ3  
3  
                                        
                                        
                                          
                                           
                                           
                                                                                                                
                                                                                                                 
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                        
                                                                                                                         
66ꢀ  
                                                   
                                               
                                                
                                                  
                                                       
                                                       
                                                         
                                                          
                                                           
                                                                                                 
                                                                                                 
                                                                                                   
                                                                                                    
                                                                                                     
ꢈꢀ  
ꢉꢀ  
1
'
                                                                                                         
&ꢀ  
4
'
                                 
                                   
                                    
                                        
                                        
                                          
                                           
                                           
                                                                                                                
                                                                                                                 
                                                                                                                  
                                                                                                                   
                                                                                                                    
46  
ꢄꢀꢇꢀ 9ꢀ  
66  
                                                                                                                                                                                    
9ꢀ 6ꢀ  
                                                       
                                                       
                                                         
                                                          
                                                           
                                                                                                 
                                                                                                 
                                                                                                   
                                                                                                    
                                                                                                     
                                                                                                         
                                                                                                          
ꢉꢊ  
                                                                                                           
6
                                                                       
9ꢀ  
9ꢀ  
                                        
                                        
                                          
                                           
                                           
                                                                                                                
                                                                                                                 
                                                                                                                  
                                                                                                                   
                                                                                                                    
ꢃꢀꢇꢀ '  
ꢅꢀꢇꢀ '  
ꢆꢀ  
ꢇꢀ 9ꢀ  
66ꢀ  
                                                                                                                        
                                                                                                                         
                                                                                                                          
4ꢉ  
4ꢃ  
ꢅꢀ  
ꢈꢀ  
66  
                                                   
'
'
                                               
                                                
                                                 
ꢄꢀ  
ꢋꢀ  
                                                       
                                                       
                                                         
                                                          
                                                           
                                                                                                 
                                                                                                 
                                                                                                   
                                                                                                    
                                                                                                     
4
4
ꢊꢀ 9ꢀ  
66  
                                                                                                                                                             
3  
                                        
                                        
                                          
                                           
                                           
                                                                                                                
                                                                                                                 
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                        
                                                                                                                         
                                                                                                                          
66  
                                                   
                                               
                                                
                                                 
                                                       
                                                       
                                                         
                                                          
                                                           
                                                                                                 
                                                                                                 
                                                                                                   
                                                                                                    
                                                                                                     
ꢋꢀ  
ꢁꢀ  
                                                                                                         
                                                                                                          
ꢃꢄ  
                                                                                                           
4
.ꢈꢀ  
3
                                        
                                        
                                          
                                           
                                           
                                                                                                                
                                                                                                                 
                                                                                                                  
                                                                                                                   
                                                                                                                    
'
                                 
                                   
                                    
4ꢉ  
 
                                                                                                                                                                                    
9ꢀ 6ꢀ  
                                                       
                                                       
                                                         
                                                          
                                                           
                                                                                                 
                                                                                                 
                                                                                                   
                                                                                                    
                                                                                                     
                                                                                                         
                                                                                                          
6
                                                                       
6
                                 
$
                                  
ꢈꢀ 3  
                                        
                                        
                                          
                                           
                                           
                                                                                                                
                                                                                                                 
                                                                                                                  
                                                                                                                   
                                                                                                                    
ꢂꢀꢇꢀ &.ꢈꢀ  
                                                                                                                        
                                                                                                                         
1
'
                                               
                                                       
                                                       
                                                         
                                                          
                                                           
                                                                                                 
                                                                                                 
                                                                                                   
                                                                                                    
                                                                                                     
&
4
ꢈꢀ 9ꢀ  
ꢇꢀ  
66ꢀ  
                                                                                                                                                             
3
                                        
                                        
                                          
                                           
                                           
                                                                                                                
                                                                                                                 
                                                                                                                  
                                                                                                                   
                                                                                                                    
ꢄꢀ  
ꢇꢀ '  
9ꢀ  
ꢇꢀ  
                                                                                                                        
                                                                                                                         
0ꢊ  
66ꢀ  
                                                   
                                               
                                                
6ꢊ  
                                                  
                                                       
                                                       
                                                         
                                                          
                                                           
                                                                                                 
                                                                                                 
                                                                                                   
                                                                                                    
                                                                                                     
ꢉꢀ  
ꢊꢀ  
1
'
                                                                                                         
&ꢀ  
4
'
'
                                 
6
                                   
                                    
ꢊꢀꢇ3  
                                        
                                        
                                          
                                           
                                           
                                                                                                                
                                                                                                                 
                                                                                                                  
                                                                                                                   
                                                                                                                    
4
4ꢃ  
ꢃꢀꢇꢀ 9ꢀ  
66  
                                                                                                                                                                                    
9ꢀ 6ꢀ  
                                                       
                                                       
                                                         
                                                          
                                                           
                                                                                                 
                                                                                                 
                                                                                                   
                                                                                                    
                                                                                                     
                                                                                                         
                                                                                                          
ꢃꢉ  
                                                                                                           
6
                                                                       
3
3  
                                        
                                        
                                          
                                           
                                           
                                                                                                                
                                                                                                                 
                                                                                                                  
                                                                                                                   
                                                                                                                    
ꢅꢀ  
ꢇꢀ '  
ꢆꢀꢇꢀ '  
                                 
                                   
                                    
 
                                                                                                                        
                                                                                                                         
                                                                                                                          
4ꢃ  
4ꢊ  
ꢃꢀ  
ꢁꢀ  
'
'
                                               
                                                
                                                 
ꢂꢀ  
ꢊꢀ  
                                                       
                                                       
                                                         
                                                          
                                                           
                                                                                                 
                                                                                                 
                                                                                                   
                                                                                                    
                                                                                                     
4
4
66  
                                                                                                                                                             
9ꢀ  
                                        
                                        
                                          
                                           
                                           
                                                                                                                
                                                                                                                 
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                        
                                                                                                                         
                                                                                                                          
66ꢀ  
                                                   
                                               
                                                
                                                 
                                                       
                                                       
                                                         
                                                          
                                                           
                                                                                                 
                                                                                                 
                                                                                                   
                                                                                                    
                                                                                                     
ꢁꢀ  
ꢈꢀ  
                                                                                                         
                                                                                                          
ꢊꢂ  
ꢅꢀ  
                                                                                                           
4
0
'
'
                                 
                                   
                                    
3  
ꢅꢀꢇ3  
3  
                                        
                                        
                                          
                                           
                                           
                                                                                                                
                                                                                                                 
                                                                                                                  
                                                                                                                   
                                                                                                                    
4
ꢂꢀꢇꢀ 9ꢀ  
66  
                                                                                                                                                                                    
9ꢀ 6ꢀ  
                                                       
                                                       
                                                         
                                                          
                                                           
                                                                                                 
                                                                                                 
                                                                                                   
                                                                                                    
                                                                                                     
                                                                                                         
                                                                                                          
6
                                                                       
                                 
                                   
                                    
                                        
                                        
                                          
                                           
                                           
                                                                                                                
                                                                                                                 
                                                                                                                  
                                                                                                                   
                                                                                                                    
ꢄꢀꢇꢀ 1  
ꢃꢀꢇꢀ '  
                                                                                                                        
46  
&ꢀ  
'
'
                                               
                                                
6ꢅ  
                                                  
ꢋꢀ  
                                                       
                                                       
                                                         
                                                          
                                                           
                                                                                                 
                                                                                                 
                                                                                                   
                                                                                                    
                                                                                                     
4
4
ꢉꢀ 9ꢀ  
66  
                                                                                                                                                             
9ꢀ  
                                        
                                        
                                          
                                           
                                           
                                                                                                                
                                                                                                                 
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                        
                                                                                                                         
                                                                                                                          
4ꢊ  
ꢈꢀ  
66ꢀ  
                                                   
                                               
                                                
                                                 
                                                       
                                                       
                                                         
                                                          
                                                           
                                                                                                 
                                                                                                 
                                                                                                   
                                                                                                    
                                                                                                     
ꢊꢀ  
                                                                                                         
                                                                                                          
ꢊꢄ  
                                                                                                           
4
3
                                        
                                        
                                          
                                           
                                           
                                                                                                                
                                                                                                                 
                                                                                                                  
                                                                                                                   
                                                                                                                    
ꢅꢀ  
ꢆꢀ  
'
                                 
                                   
                                    
4ꢃ  
 
9ꢀ  
6$ꢁꢀ  
66ꢀ  
                                                                                                                                                                                    
9ꢀ 6ꢀ  
                                                       
                                                       
                                                         
                                                          
                                                           
                                                                                                 
                                                                                                 
                                                                                                   
                                                                                                    
                                                                                                     
ꢋꢀ 9ꢀ '6  
6$ꢂꢀ  
6
                                                                       
' 3'ꢀ  
                                                                                                                                                             
                                                                                                                                                              
                                                                                                                                                               
                                                                                                                                                                
6
                                 
'
                                   
3  
                                        
                                        
                                          
                                           
                                           
                                                                                                                
                                                                                                                 
                                                                                                                  
                                                                                                                   
                                                                                                                    
                                                                                                                        
                                                                                                                         
$
6
                                               
&
                                                
                                                       
                                                       
                                                         
                                                          
                                                           
                                                                                                 
                                                                                                 
                                                                                                   
                                                                                                    
                                                                                                     
ꢁꢀ  
                                                                                                         
                                                                                                          
/
0
                                                                                                                                 
33  
                                                                                                                                  
                                                                                                                                   
7
                                                                                                                                    
ꢁꢂꢊꢁꢀ  
                                                                                                                                     
                                                                                                                                      
                                                                                                                                       
Internet Data Sheet  
HYS[64/72]T[16/32/64]0xxHU–[2.5/../5]–A  
Unbuffered DDR2 SDRAM Modules  
FIGURE 2  
Pin Configuration UDIMM ×72 (240 Pin)  
9
'
9ꢀ  
'
'
9ꢀ  
'
'
9ꢀ  
1
'
9ꢀ  
'
'
9ꢀ  
'
'
9ꢀ  
'
'
9ꢀ  
&
'
                                 
5
4
                                   
(
                                    
)ꢀꢇꢀ 3  
ꢇꢀ 3  
ꢇꢀ 3  
ꢁꢀꢇꢀ 3  
ꢇꢀ 3  
ꢇꢀ 3  
ꢇꢀ 3  
ꢂꢀꢇꢀ 3  
ꢇꢀ 3  
                                        
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
                                        
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
                                          
ꢁꢁ  
ꢁꢁ  
ꢁꢁ  
ꢁꢁ  
ꢁꢁ  
ꢁꢂ  
ꢁꢂ  
ꢁꢂ  
ꢁꢂ  
ꢁꢂ  
ꢁꢈ  
ꢁꢈ  
ꢁꢈ  
ꢁꢈ  
ꢁꢈ  
ꢁꢄ  
ꢁꢄ  
ꢁꢄ  
ꢁꢄ  
ꢁꢄ  
ꢁꢉ  
ꢁꢉ  
ꢁꢉ  
ꢁꢉ  
ꢁꢉ  
ꢁꢃ  
ꢁꢃ  
ꢁꢃ  
ꢁꢃ  
ꢁꢃ  
ꢁꢊ  
ꢁꢊ  
                                           
                                           
ꢂꢀ  
ꢄꢀ  
ꢃꢀ  
ꢅꢀ  
ꢆꢀ  
ꢂꢀ  
ꢄꢀ  
ꢃꢀ  
ꢅꢀ  
ꢆꢀ  
ꢂꢀ  
ꢄꢀ  
ꢃꢀ  
ꢅꢀ  
ꢆꢀ  
ꢂꢀ  
ꢄꢀ  
ꢃꢀ  
ꢅꢀ  
ꢆꢀ  
ꢂꢀ  
ꢄꢀ  
ꢃꢀ  
ꢅꢀ  
ꢆꢀ  
ꢂꢀ  
ꢄꢀ  
ꢃꢀ  
ꢅꢀ  
ꢆꢀ  
ꢂꢀ  
ꢄꢀ  
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
                                                                                                                
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
                                                                                                                 
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
                                                                                                                  
ꢂꢈ  
ꢂꢈ  
ꢂꢈ  
ꢂꢈ  
ꢂꢈ  
ꢂꢄ  
ꢂꢄ  
ꢂꢄ  
ꢂꢄ  
ꢂꢄ  
ꢂꢉ  
ꢂꢉ  
ꢂꢉ  
ꢂꢉ  
ꢂꢉ  
ꢂꢃ  
ꢂꢃ  
ꢂꢃ  
ꢂꢃ  
ꢂꢃ  
ꢂꢊ  
ꢂꢊ  
ꢂꢊ  
ꢂꢊ  
ꢂꢊ  
ꢂꢅ  
ꢂꢅ  
ꢂꢅ  
ꢂꢅ  
ꢂꢅ  
ꢂꢋ  
ꢂꢋ  
                                                                                                                   
                                                                                                                    
ꢂꢀꢇꢀ 9ꢀ  
66ꢀ  
9ꢀ 6ꢀ  
ꢇꢀ 3  
ꢇꢀ 3  
ꢇꢀ 3  
ꢇꢀ 3  
ꢇꢀ 3  
ꢇꢀ 3  
ꢇꢀ 3  
ꢇꢀ 3  
ꢇꢀ 3  
ꢇꢀ 3  
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
Qꢀ  
ꢈꢀ  
ꢉꢀ  
ꢊꢀ  
ꢋꢀ  
ꢁꢀ  
ꢈꢀ  
ꢉꢀ  
ꢊꢀ  
ꢋꢀ  
ꢁꢀ  
ꢈꢀ  
ꢉꢀ  
ꢊꢀ  
ꢋꢀ  
ꢁꢀ  
ꢈꢀ  
ꢉꢀ  
ꢊꢀ  
ꢋꢀ  
ꢁꢀ  
ꢈꢀ  
ꢉꢀ  
ꢊꢀ  
ꢋꢀ  
ꢁꢀ  
ꢈꢀ  
ꢉꢀ  
ꢊꢀ  
ꢋꢀ  
ꢁꢀ  
ꢈꢀ  
ꢉꢀ  
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
LQ  
ꢂꢈ  
ꢂꢈ  
ꢂꢈ  
ꢂꢈ  
ꢂꢄ  
ꢂꢄ  
ꢂꢄ  
ꢂꢄ  
ꢂꢄ  
ꢂꢉ  
ꢂꢉ  
ꢂꢉ  
ꢂꢉ  
ꢂꢉ  
ꢂꢃ  
ꢂꢃ  
ꢂꢃ  
ꢂꢃ  
ꢂꢃ  
ꢂꢊ  
ꢂꢊ  
ꢂꢊ  
ꢂꢊ  
ꢂꢊ  
ꢂꢅ  
ꢂꢅ  
ꢂꢅ  
ꢂꢅ  
ꢂꢅ  
ꢂꢋ  
ꢂꢋ  
ꢂꢋ  
ꢈꢀ ꢇꢀ'  
ꢇꢀ  
4
ꢉꢀ  
6
ꢄꢀꢇꢀ '  
ꢃꢀꢇꢀ '  
4ꢃ  
0ꢁ  
'
'
4
4
6ꢁ  
ꢉꢀ 9ꢀ  
66  
66  
4
4
6
ꢊꢀ ꢇꢀ1  
ꢇꢀ  
&ꢀ  
4
ꢅꢀꢇꢀ 9ꢀ  
66  
9ꢀ 6ꢀ  
ꢋꢀ  
'
ꢊꢀ  
6
ꢆꢀꢇꢀ '  
ꢂꢀꢇꢀ '  
4ꢅ  
4ꢂ  
ꢈꢀ  
'
'
4
4
9ꢀ 6ꢀ  
ꢁꢀ ꢇꢀ9ꢀ  
66  
66  
4
4
ꢇꢀ  
'
ꢈꢀ  
4
0
&ꢀ  
ꢂꢄ  
ꢂꢀ  
ꢄꢀꢇꢀ 9ꢀ  
66  
6
ꢉꢀ ꢇꢀ'  
ꢊꢀ ꢇꢀ1  
ꢋꢀ ꢇꢀ&  
ꢁꢀ ꢇꢀ'  
6
ꢃꢀꢇꢀ 1  
ꢅꢀꢇꢀ &  
&ꢀ  
.ꢂꢀ  
'
1
4
6ꢂ  
66  
&
4ꢂ  
&
.
ꢂꢀ  
ꢇꢀ 3  
ꢆꢀꢇꢀ 9ꢀ  
66  
9ꢀ 6ꢀ  
4
ꢂꢉ  
6
ꢇꢀ  
ꢇꢀ  
ꢁꢀ  
'
4ꢂ  
ꢃꢀ  
ꢁꢀ  
3
3
ꢂꢀꢇꢀ  
ꢇꢀ  
ꢇꢀ  
3
ꢇꢀ  
ꢈꢀ 9ꢀ  
'
'
4
4
ꢂꢀ  
ꢊꢀ  
3
66  
)ꢀ  
5ꢀ  
2ꢀ  
1ꢀ  
7ꢀ  
6ꢀ  
,ꢀ  
ꢄꢀꢇꢀ '  
4ꢈ  
%ꢀ  
$ꢀ  
&ꢀ  
.ꢀ  
6ꢀ  
,ꢀ  
66ꢀ  
4
4
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢉꢀ  
ꢊꢀ  
ꢋꢀ 9ꢀ  
'
'
4
0
ꢈꢂ  
ꢈꢀ  
6
3  
ꢈꢀꢇ3  
3  
3  
3  
3  
ꢄꢀꢇ3  
3  
3  
ꢃꢀꢇꢀ 9ꢀ  
66  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
9ꢀ 6ꢀ  
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
3
6
ꢅꢀꢇꢀ 1  
ꢆꢀꢇꢀ '  
&ꢀ  
4ꢈ  
'
4
6ꢈ  
66  
ꢈꢀ  
ꢆꢀ  
66  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
9ꢀ 6ꢀ  
ꢁꢀ  
ꢈꢀ  
ꢉꢀ 9ꢀ  
'
'
4
4
ꢈꢄ  
ꢈꢋ  
6
4
4
ꢂꢀꢇꢀ 9ꢀ  
66  
9ꢀ 6ꢀ  
6
ꢄꢀꢇꢀ '  
ꢃꢀꢇꢀ '  
4ꢈ  
0ꢄ  
'
'
4
4
6ꢄ  
ꢃꢀ  
'ꢀ  
(ꢀ  
66  
'ꢀ  
(ꢀ  
66ꢀ  
ꢇꢀ  
1
ꢇꢀ  
'
ꢊꢀ  
ꢋꢀ  
&ꢀ  
4
4
4
6
ꢅꢀꢇꢀ 9ꢀ  
66  
9ꢀ 6ꢀ  
ꢄꢁ  
6
ꢆꢀꢇꢀ '  
ꢂꢀꢇꢀ &  
4ꢄ  
%ꢉꢀ  
ꢂꢀ  
ꢇꢀ  
ꢁꢀ 9ꢀ  
'
&
4
ꢅꢀ  
66  
66ꢀ  
%
4
ꢇꢀ  
&
%
ꢁꢀ  
ꢈꢀ  
%
ꢃꢀ  
ꢂꢀ 3  
6
ꢄꢀꢇꢀ 9ꢀ  
66  
ꢇꢀ  
'
9ꢀ 6ꢀ  
ꢉꢀ  
0
ꢋꢀ  
6
ꢋꢀꢇ3  
3  
ꢃꢀꢇꢀ 1  
ꢅꢀꢇꢀ &  
&ꢀ  
%ꢊꢀ  
ꢇꢀ  
'
&
4
6ꢋ  
ꢊꢀ 9ꢀ  
66  
9ꢀ  
&
66ꢀ  
ꢇꢀ  
ꢇꢀ  
%
ꢈꢀ  
ꢋꢀ  
&
%
ꢅꢀ  
%
ꢄꢀ 3  
ꢆꢀꢇꢀ 9ꢀ  
66  
9ꢀ 6ꢀ  
ꢁꢀ 9ꢀ '4  
6
'
9ꢀ '4 3  
9ꢀ 'ꢀ 3  
1
$
9ꢀ 'ꢀ 3  
$
$
ꢂꢀꢇꢀ &  
ꢄꢀꢇꢀ 1  
.
&ꢀ  
(
ꢂꢀ  
'
ꢇꢀ  
&
1
.
&
(
ꢁꢀ  
ꢈꢀ 9ꢀ 'ꢀ  
'
'
ꢇꢀ  
ꢇꢀ  
ꢈꢀ  
%
$
ꢉꢀ  
ꢊꢀ  
$
$
ꢉꢀ  
ꢈꢀ  
&
ꢂꢂ  
3  
3  
ꢃꢀꢇꢀ 9ꢀ  
''4ꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
9ꢀ '  
'
4ꢀ  
ꢅꢀꢇꢀ $  
ꢆꢀꢇꢀ $  
ꢆꢀ  
ꢋꢀ  
$
$
ꢅꢀ  
ꢃꢀ  
ꢋꢀ 9ꢀ 'ꢀ  
'
'
ꢇꢀ  
$
ꢇꢀ  
$
ꢁꢀ  
ꢈꢀ  
ꢊꢀ  
ꢄꢀ  
ꢉꢀ  
ꢈꢀ  
3  
3  
ꢂꢀꢇꢀ 9ꢀ  
''4ꢀ  
9ꢀ '  
'
4ꢀ  
ꢄꢀꢇꢀ $  
ꢂꢀ  
ꢇꢀ  
9ꢀ 'ꢀ  
ꢉꢀ 9ꢀ 'ꢀ  
'
'
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
1
ꢇꢀ  
ꢋꢀ 9ꢀ  
66ꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢉꢀ 9ꢀ  
ꢇꢀ  
'
ꢇꢀ  
'
ꢇꢀ  
ꢁꢀ 9ꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
'
ꢇꢀ  
&
ꢇꢀ  
ꢇꢀ  
ꢇꢀ  
ꢋꢀ 9ꢀ  
ꢇꢀ  
'
ꢇꢀ  
'
ꢇꢀ  
ꢇꢀ  
'
Rev. 1.41, 2006-11  
03062006-0GN5-WTPW  
14  
Internet Data Sheet  
HYS[64/72]T[16/32/64]0xxHU–[2.5/../5]–A  
Unbuffered DDR2 SDRAM Modules  
3
Electrical Characteristics  
This chapter lists the electrical characteristics.  
3.1  
Absolute Maximum Ratings  
Caution is needed not to exceed absolute maximum ratings of the DRAM device listed in Table 8 at any time.  
TABLE 8  
Absolute Maximum Ratings  
Symbol  
Parameter  
Rating  
Min.  
Unit  
Note  
Max.  
1)  
VDD  
Voltage on VDD pin relative to VSS  
Voltage on VDDQ pin relative to VSS  
Voltage on VDDL pin relative to VSS  
Voltage on any pin relative to VSS  
Storage Temperature  
–1.0  
–0.5  
–0.5  
–0.5  
–55  
+2.3  
+2.3  
+2.3  
+2.3  
+100  
V
1)2)  
1)2)  
1)  
VDDQ  
VDDL  
V
V
VIN, VOUT  
TSTG  
V
1)2)  
°C  
1) When VDD and VDDQ and VDDL are less than 500 mV; VREF may be equal to or less than 300 mV.  
2) Storage Temperature is the case surface temperature on the center/top side of the DRAM.  
Attention: Stresses greater than those listed under “Absolute Maximum Ratings” may cause permanent damage to  
the device. This is a stress rating only and functional operation of the device at these or any other  
conditions above those indicated in the operational sections of this specification is not implied. Exposure  
to absolute maximum rating conditions for extended periods may affect reliability.  
TABLE 9  
DRAM Component Operating Temperature Range  
Symbol  
Parameter  
Rating  
Unit  
Note  
Min.  
Max.  
1)2)3)4)  
TOPER  
Operating Temperature  
0
95  
°C  
1) Operating Temperature is the case surface temperature on the center / top side of the DRAM.  
2) The operating temperature range are the temperatures where all DRAM specification will be supported. During operation, the DRAM case  
temperature must be maintained between 0 - 95 °C under all other specification parameters.  
3) Above 85 °C the Auto-Refresh command interval has to be reduced to tREFI= 3.9 µs  
4) When operating this product in the 85 °C to 95 °C TCASE temperature range, the High Temperature Self Refresh has to be enabled by  
setting EMR(2) bit A7 to “1”. When the High Temperature Self Refresh is enabled there is an increase of IDD6 by approximately 50%  
Rev. 1.41, 2006-11  
15  
03062006-0GN5-WTPW  
Internet Data Sheet  
HYS[64/72]T[16/32/64]0xxHU–[2.5/../5]–A  
Unbuffered DDR2 SDRAM Modules  
3.2  
DC Operating Conditions  
This chapter describes the DC operating characteristics.  
TABLE 10  
Operating Conditions  
Parameter  
Symbol  
Values  
Min.  
Unit  
Note  
Max.  
Operating temperature (ambient)  
DRAM Case Temperature  
TOPR  
TCASE  
TSTG  
0
+65  
+95  
+100  
+105  
90  
°C  
°C  
°C  
kPa  
%
1)2)3)4)  
5)  
0
Storage Temperature  
– 50  
+69  
10  
Barometric Pressure (operating & storage)  
Operating Humidity (relative)  
PBar  
HOPR  
1) DRAM Component Case Temperature is the surface temperature in the center on the top side of any of the DRAMs.  
2) Within the DRAM Component Case Temperature Range all DRAM specifications will be supported  
3) Above 85 °C DRAM Case Temperature the Auto-Refresh command interval has to be reduced to tREFI = 3.9 µs  
4) When operating this product in the 85 °C to 95 °C TCASE temperature range, the High Temperature Self Refresh has to be enabled by  
setting EMR(2) bit A7 to “1”. When the High Temperature Self Refresh is enabled there is an increase of IDD6 by approximately 50%.  
5) Up to 3000 m.  
TABLE 11  
Supply Voltage Levels and DC Operating Conditions  
Parameter  
Symbol  
Values  
Min.  
Unit  
Note  
Typ.  
Max.  
Device Supply Voltage  
Output Supply Voltage  
Input Reference Voltage  
SPD Supply Voltage  
VDD  
1.7  
1.8  
1.9  
V
1)  
2)  
VDDQ  
VREF  
1.7  
1.8  
1.9  
V
0.49 × VDDQ  
0.5 × VDDQ  
0.51 × VDDQ  
V
VDDSPD  
VIH(DC)  
VIL (DC  
IL  
1.7  
3.6  
V
DC Input Logic High  
VREF + 0.125  
V
V
5
DDQ + 0.3  
V
DC Input Logic Low  
)
– 0.30  
– 5  
REF – 0.125  
V
3)  
In / Output Leakage Current  
µA  
1) Under all conditions, VDDQ must be less than or equal to VDD  
2) Peak to peak AC noise on VREF may not exceed ± 2% VREF (DC).VREF is also expected to track noise in VDDQ  
3) Input voltage for any connector pin under test of 0 V VIN VDDQ + 0.3 V; all other pins at 0 V. Current is per pin  
.
Rev. 1.41, 2006-11  
16  
03062006-0GN5-WTPW  
Internet Data Sheet  
HYS[64/72]T[16/32/64]0xxHU–[2.5/../5]–A  
Unbuffered DDR2 SDRAM Modules  
3.3  
Timing Characteristics  
This chapter describes the AC characteristics.  
3.3.1  
Speed Grade Definitions  
All Speed grades faster than DDR2-DDR400B comply with DDR2-DDR400B timing specifications (tCK = 5ns with tRAS = 40ns).  
Speed Grade Definition for DDR2-800 (Table 12), DDR2-667(Table 13), DDR2-533C(Table 14) and DDR2-400B(Table 15).  
TABLE 12  
Speed Grade Definition Speed Bins for DDR2–800  
Speed Grade  
DDR2–800D  
DDR2–800E  
Unit  
Note  
QAG Sort Name  
CAS-RCD-RP latencies  
–2.5F  
–2.5  
5–5–5  
6–6–6  
tCK  
Parameter  
Symbol  
Min.  
Max.  
Min.  
Max.  
1)2)3)4)  
1)2)3)4)  
1)2)3)4)  
1)2)3)4)  
1)2)3)4)5)  
1)2)3)4)  
1)2)3)4)  
1)2)3)4)  
Clock Frequency  
@ CL = 3  
@ CL = 4  
@ CL = 5  
@ CL = 6  
tCK  
5
8
5
8
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
tCK  
3.75  
2.5  
8
3.75  
3
8
tCK  
8
8
tCK  
2.5  
8
2.5  
45  
60  
15  
15  
8
Row Active Time  
Row Cycle Time  
RAS-CAS-Delay  
Row Precharge Time  
tRAS  
tRC  
tRCD  
tRP  
45  
70000  
70000  
57.5  
12.5  
12.5  
1) Timings are guaranteed with CK/CK differential Slew Rate of 2.0 V/ns. For DQS signals timings are guaranteed with a differential Slew  
Rate of 2.0 V/ns in differential strobe mode and a Slew Rate of 1 V/ns in single ended mode. Timings are further guaranteed for normal  
OCD drive strength (EMRS(1) A1 = 0)  
2) The CK/CK input reference level (for timing reference to CK/CK) is the point at which CK and CK cross. The DQS / DQS, RDQS / RDQS,  
input reference level is the crosspoint when in differential strobe mode.  
3) Inputs are not recognized as valid until VREF stabilizes. During the period before VREF stabilizes, CKE = 0.2 x VDDQ is recognized as low.  
4) The output timing reference voltage level is VTT  
.
5) RAS.MAX is calculated from the maximum amount of time a DDR2 device can operate without a refresh command which is equal to 9 x tREFI  
t
.
Rev. 1.41, 2006-11  
17  
03062006-0GN5-WTPW  
Internet Data Sheet  
HYS[64/72]T[16/32/64]0xxHU–[2.5/../5]–A  
Unbuffered DDR2 SDRAM Modules  
TABLE 13  
Speed Grade Definition Speed Bins for DDR2–667  
Speed Grade  
DDR2–667C  
DDR2–667D  
Unit  
Note  
QAG Sort Name  
CAS-RCD-RP latencies  
–3  
–3S  
4–4–4  
5–5–5  
tCK  
Parameter  
Symbol  
Min.  
Max.  
Min.  
Max.  
1)2)3)4)  
1)2)3)4)  
1)2)3)4)  
1)2)3)4)5)  
1)2)3)4)  
1)2)3)4)  
1)2)3)4)  
Clock Frequency  
@ CL = 3  
@ CL = 4  
@ CL = 5  
tCK  
5
8
5
8
ns  
ns  
ns  
ns  
ns  
ns  
ns  
tCK  
3
8
3.75  
3
8
tCK  
3
8
8
Row Active Time  
Row Cycle Time  
RAS-CAS-Delay  
Row Precharge Time  
tRAS  
tRC  
tRCD  
tRP  
45  
57  
12  
12  
70000  
45  
60  
15  
15  
70000  
1) Timings are guaranteed with CK/CK differential Slew Rate of 2.0 V/ns. For DQS signals timings are guaranteed with a differential Slew  
Rate of 2.0 V/ns in differential strobe mode and a Slew Rate of 1 V/ns in single ended mode. Timings are further guaranteed for normal  
OCD drive strength (EMRS(1) A1 = 0) .  
2) The CK/CK input reference level (for timing reference to CK/CK) is the point at which CK and CK cross. The DQS / DQS, RDQS / RDQS,  
input reference level is the crosspoint when in differential strobe mode  
3) Inputs are not recognized as valid until VREF stabilizes. During the period before VREF stabilizes, CKE = 0.2 x VDDQ is recognized as low.  
4) The output timing reference voltage level is VTT  
.
5) RAS.MAX is calculated from the maximum amount of time a DDR2 device can operate without a refresh command which is equal to 9 x tREFI  
t
.
TABLE 14  
Speed Grade Definition Speed Bins for DDR2–533C  
Speed Grade  
DDR2–533C  
Unit  
Note  
QAG Sort Name  
CAS-RCD-RP latencies  
–3.7  
4–4–4  
tCK  
Parameter  
Symbol  
Min.  
Max.  
1)2)3)4)  
1)2)3)4)  
1)2)3)4)  
1)2)3)4)5)  
1)2)3)4)  
1)2)3)4)  
1)2)3)4)  
Clock Frequency  
@ CL = 3  
@ CL = 4  
@ CL = 5  
tCK  
5
8
ns  
ns  
ns  
ns  
ns  
ns  
ns  
tCK  
3.75  
3.75  
45  
8
tCK  
8
Row Active Time  
Row Cycle Time  
RAS-CAS-Delay  
Row Precharge Time  
tRAS  
tRC  
tRCD  
tRP  
70000  
60  
15  
15  
1) Timings are guaranteed with CK/CK differential Slew Rate of 2.0 V/ns. For DQS signals timings are guaranteed with a differential Slew  
Rate of 2.0 V/ns in differential strobe mode and a Slew Rate of 1 V/ns in single ended mode.Timings are further guaranteed for normal  
OCD drive strength (EMRS(1) A1 = 0)  
2) The CK/CK input reference level (for timing reference to CK/CK) is the point at which CK and CK cross. The DQS / DQS, RDQS / RDQS,  
input reference level is the crosspoint when in differential strobe mode.  
3) Inputs are not recognized as valid until VREF stabilizes. During the period before VREF stabilizes, CKE = 0.2 x VDDQ is recognized as low.  
4) The output timing reference voltage level is VTT  
.
5) RAS.MAX is calculated from the maximum amount of time a DDR2 device can operate without a refresh command which is equal to 9 x tREFI  
t
.
Rev. 1.41, 2006-11  
18  
03062006-0GN5-WTPW  
Internet Data Sheet  
HYS[64/72]T[16/32/64]0xxHU–[2.5/../5]–A  
Unbuffered DDR2 SDRAM Modules  
TABLE 15  
Speed Grade Definition Speed Bins for DDR2-400B  
Speed Grade  
DDR2–400B  
Unit  
Note  
QAG Sort Name  
CAS-RCD-RP latencies  
–5  
3–3–3  
tCK  
Parameter  
Symbol  
Min.  
Max.  
1)2)3)4)  
1)2)3)4)  
1)2)3)4)  
1)2)3)4)5)  
1)2)3)4)  
1)2)3)4)  
1)2)3)4)  
Clock Frequency  
@ CL = 3  
@ CL = 4  
@ CL = 5  
tCK  
5
8
ns  
ns  
ns  
ns  
ns  
ns  
ns  
tCK  
5
8
tCK  
5
8
Row Active Time  
Row Cycle Time  
RAS-CAS-Delay  
Row Precharge Time  
tRAS  
tRC  
tRCD  
tRP  
40  
55  
15  
15  
70000  
1) Timings are guaranteed with CK/CK differential Slew Rate of 2.0 V/ns. For DQS signals timings are guaranteed with a differential Slew  
Rate of 2.0 V/ns in differential strobe mode and a Slew Rate of 1 V/ns in single ended mode. Timings are further guaranteed for normal  
OCD drive strength (EMRS(1) A1 = 0) .  
2) The CK/CK input reference level (for timing reference to CK/CK) is the point at which CK and CK cross. The DQS / DQS, RDQS / RDQS,  
input reference level is the crosspoint when in differential strobe mode  
3) Inputs are not recognized as valid until VREF stabilizes. During the period before VREF stabilizes, CKE = 0.2 x VDDQ is recognized as low.  
4) The output timing reference voltage level is VTT  
.
5) RAS.MAX is calculated from the maximum amount of time a DDR2 device can operate without a refresh command which is equal to 9 x tREFI  
t
.
Rev. 1.41, 2006-11  
19  
03062006-0GN5-WTPW  
Internet Data Sheet  
HYS[64/72]T[16/32/64]0xxHU–[2.5/../5]–A  
Unbuffered DDR2 SDRAM Modules  
3.3.2  
Component AC Timing Parameters  
Timing Parameters for DDR2-800 (Table 16), DDR2-667(Table 17), DDR2-533(Table 18) and DDR2-400(Table 19).  
TABLE 16  
DRAM Component Timing Parameter by Speed Grade - DDR2–800  
Parameter  
Symbol  
DDR2–800  
Unit  
Note1)2)3)4)5)6)7)  
8)  
Min.  
Max.  
9)  
DQ output access time from CK / CK  
DQS output access time from CK / CK  
Average clock high pulse width  
Average clock low pulse width  
Average clock period  
tAC  
–400  
–350  
0.48  
0.48  
2500  
50  
+400  
+350  
0.52  
0.52  
8000  
ps  
9)  
tDQSCK  
tCH.AVG  
tCL.AVG  
tCK.AVG  
tDS.BASE  
tDH.BASE  
ps  
10)11)  
10)11)  
10)11)  
12)13)14)  
13)14)15)  
tCK.AVG  
tCK.AVG  
ps  
DQ and DM input setup time  
DQ and DM input hold time  
ps  
125  
ps  
Control & address input pulse width for each input tIPW  
0.6  
tCK.AVG  
tCK.AVG  
ps  
DQ and DM input pulse width for each input  
Data-out high-impedance time from CK / CK  
DQS/DQS low-impedance time from CK / CK  
DQ low impedance time from CK/CK  
tDIPW  
tHZ  
tLZ.DQS  
tLZ.DQ  
0.35  
9)16)  
9)16)  
9)16)  
17)  
tAC.MAX  
tAC.MAX  
tAC.MAX  
200  
tAC.MIN  
2 × tAC.MIN  
ps  
ps  
DQS-DQ skew for DQS & associated DQ signals tDQSQ  
ps  
18)  
CK half pulse width  
tHP  
Min(tCH.ABS  
,
__  
ps  
tCL.ABS  
)
19)  
20)  
DQ hold skew factor  
tQHS  
tQH  
300  
ps  
DQ/DQS output hold time from DQS  
t
HP tQHS  
ps  
Write command to DQS associated clock edges WL  
RL – 1  
– 0.25  
nCK  
tCK.AVG  
21)  
DQS latching rising transition to associated clock tDQSS  
+ 0.25  
edges  
DQS input high pulse width  
DQS input low pulse width  
DQS falling edge to CK setup time  
DQS falling edge hold time from CK  
Write postamble  
tDQSH  
tDQSL  
tDSS  
0.35  
0.35  
0.2  
0.6  
1.1  
0.6  
tCK.AVG  
tCK.AVG  
tCK.AVG  
tCK.AVG  
tCK.AVG  
tCK.AVG  
ps  
21)  
21)  
tDSH  
0.2  
tWPST  
tWPRE  
tIS.BASE  
tIH.BASE  
tRPRE  
tRPST  
tCCD  
0.4  
Write preamble  
0.35  
175  
250  
0.9  
22)23)  
23)24)  
25)26)  
25)27)  
Address and control input setup time  
Address and control input hold time  
Read preamble  
ps  
tCK.AVG  
tCK.AVG  
nCK  
Read postamble  
0.4  
CAS to CAS command delay  
Write recovery time  
2
28)  
tWR  
15  
ns  
28)29)  
28)30)  
Auto-Precharge write recovery + precharge time tDAL  
WR + tnRP  
7.5  
nCK  
Internal write to read command delay  
tWTR  
ns  
Rev. 1.41, 2006-11  
20  
03062006-0GN5-WTPW  
Internet Data Sheet  
HYS[64/72]T[16/32/64]0xxHU–[2.5/../5]–A  
Unbuffered DDR2 SDRAM Modules  
Parameter  
Symbol  
DDR2–800  
Unit  
Note1)2)3)4)5)6)7)  
8)  
Min.  
Max.  
28)  
28)  
Internal Read to Precharge command delay  
Exit self-refresh to a non-read command  
Exit self-refresh to read command  
tRTP  
tXSNR  
tXSRD  
tXP  
7.5  
ns  
t
RFC +10  
ns  
200  
2
nCK  
nCK  
Exit precharge power-down to any valid  
command (other than NOP or Deselect)  
Exit power down to read command  
tXARD  
2
nCK  
nCK  
Exit active power-down mode to read command tXARDS  
8 – AL  
(slow exit, lower power)  
31)  
CKE minimum pulse width ( high and low pulse tCKE  
3
nCK  
width)  
Mode register set command cycle time  
MRS command to ODT update delay  
OCD drive mode output delay  
tMRD  
tMOD  
tOIT  
2
0
0
12  
12  
nCK  
ns  
28)  
28)  
ns  
Minimum time clocks remain ON after CKE  
asynchronously drops LOW  
tDELAY  
tIS + tCK .AVG  
tIH  
+
ns  
1) For details and notes see the relevant Qimonda component data sheet  
2)  
DDQ = 1.8 V ± 0.1V; VDD = 1.8 V ± 0.1 V. See notes 5)6)7)8)  
V
3) Timing that is not specified is illegal and after such an event, in order to guarantee proper operation, the DRAM must be powered down  
and then restarted through the specified initialization sequence before normal operation can continue.  
4) Timings are guaranteed with CK/CK differential Slew Rate of 2.0 V/ns. For DQS signals timings are guaranteed with a differential Slew  
Rate of 2.0 V/ns in differential strobe mode and a Slew Rate of 1 V/ns in single ended mode.  
5) The CK / CK input reference level (for timing reference to CK / CK) is the point at which CK and CK cross. The DQS / DQS, RDQS / RDQS,  
input reference level is the crosspoint when in differential strobe mode.  
6) Inputs are not recognized as valid until VREF stabilizes. During the period before VREF stabilizes, CKE = 0.2 x VDDQ is recognized as low.  
7) The output timing reference voltage level is VTT  
.
8) New units, ‘tCK.AVG‘ and ‘nCK‘, are introduced in DDR2–667 and DDR2–800. Unit ‘tCK.AVG‘ represents the actual tCK.AVG of the input clock  
under operation. Unit ‘nCK‘ represents one clock cycle of the input clock, counting the actual clock edges. Note that in DDR2–400 and  
DDR2–533, ‘tCK‘ is used for both concepts. Example: tXP = 2 [nCK] means; if Power Down exit is registered at Tm, an Active command  
may be registered at Tm + 2, even if (Tm + 2 - Tm) is 2 x tCK.AVG + tERR.2PER(Min)  
.
9) When the device is operated with input clock jitter, this parameter needs to be derated by the actual tERR(6-10per) of the input clock. (output  
deratings are relative to the SDRAM input clock.) For example, if the measured jitter into a DDR2–667 SDRAM has tERR(6-10PER).MIN = – 272  
ps and tERR(6- 10PER).MAX = + 293 ps, then tDQSCK.MIN(DERATED) = tDQSCK.MIN tERR(6-10PER).MAX = – 400 ps – 293 ps = – 693 ps and  
tDQSCK.MAX(DERATED) = tDQSCK.MAX tERR(6-10PER).MIN = 400 ps + 272 ps = + 672 ps. Similarly, tLZ.DQ for DDR2–667 derates to tLZ.DQ.MIN(DERATED)  
= - 900 ps – 293 ps = – 1193 ps and tLZ.DQ.MAX(DERATED) = 450 ps + 272 ps = + 722 ps. (Caution on the MIN/MAX usage!)  
10) Input clock jitter spec parameter. These parameters are referred to as 'input clock jitter spec parameters' and these parameters apply to  
DDR2–667 and DDR2–800 only. The jitter specified is a random jitter meeting a Gaussian distribution.  
11) These parameters are specified per their average values, however it is understood that the relationship between the average timing and  
the absolute instantaneous timing holds all the times (min. and max of SPEC values are to be used for calculations ).  
12) Input waveform timing tDS with differential data strobe enabled MR[bit10] = 0, is referenced from the input signal crossing at the VIH.AC level  
to the differential data strobe crosspoint for a rising signal, and from the input signal crossing at the VIL.AC level to the differential data strobe  
crosspoint for a falling signal applied to the device under test. DQS, DQS signals must be monotonic between Vil(DC)MAX and Vih(DC)MIN. See  
Figure 4.  
13) If tDS or tDH is violated, data corruption may occur and the data must be re-written with valid data before a valid READ can be executed.  
14) These parameters are measured from a data signal ((L/U)DM, (L/U)DQ0, (L/U)DQ1, etc.) transition edge to its respective data strobe signal  
((L/U/R)DQS / DQS) crossing.  
15) Input waveform timing tDH with differential data strobe enabled MR[bit10] = 0, is referenced from the differential data strobe crosspoint to  
the input signal crossing at the VIH.DC level for a falling signal and from the differential data strobe crosspoint to the input signal crossing  
at the VIL.DC level for a rising signal applied to the device under test. DQS, DQS signals must be monotonic between VIL.DC.MAX and  
VIH.DC.MIN. See Figure 4.  
Rev. 1.41, 2006-11  
21  
03062006-0GN5-WTPW  
Internet Data Sheet  
HYS[64/72]T[16/32/64]0xxHU–[2.5/../5]–A  
Unbuffered DDR2 SDRAM Modules  
16) tHZ and tLZ transitions occur in the same access time as valid data transitions. These parameters are referenced to a specific voltage level  
which specifies when the device output is no longer driving (tHZ), or begins driving (tLZ) .  
17) tDQSQ: Consists of data pin skew and output pattern effects, and p-channel to n-channel variation of the output drivers as well as output  
slew rate mismatch between DQS / DQS and associated DQ in any given cycle.  
18) tHP is the minimum of the absolute half period of the actual input clock. tHP is an input parameter but not an input specification parameter.  
It is used in conjunction with tQHS to derive the DRAM output timing tQH. The value to be used for tQH calculation is determined by the  
following equation; tHP = MIN (tCH.ABS, tCL.ABS), where, tCH.ABS is the minimum of the actual instantaneous clock high time; tCL.ABS is the  
minimum of the actual instantaneous clock low time.  
19) tQHS accounts for: 1) The pulse duration distortion of on-chip clock circuits, which represents how well the actual tHP at the input is  
transferred to the output; and 2) The worst case push-out of DQS on one transition followed by the worst case pull-in of DQ on the next  
transition, both of which are independent of each other, due to data pin skew, output pattern effects, and pchannel to n-channel variation  
of the output drivers.  
20) tQH = tHP tQHS, where: tHP is the minimum of the absolute half period of the actual input clock; and tQHS is the specification value under the  
max column. {The less half-pulse width distortion present, the larger the tQH value is; and the larger the valid data eye will be.}  
Examples: 1) If the system provides tHP of 1315 ps into a DDR2–667 SDRAM, the DRAM provides tQH of 975 ps minimum. 2) If the system  
provides tHP of 1420 ps into a DDR2–667 SDRAM, the DRAM provides tQH of 1080 ps minimum.  
21) These parameters are measured from a data strobe signal ((L/U/R)DQS / DQS) crossing to its respective clock signal (CK / CK) crossing.  
The spec values are not affected by the amount of clock jitter applied (i.e. tJIT.PER, tJIT.CC, etc.), as these are relative to the clock signal  
crossing. That is, these parameters should be met whether clock jitter is present or not.  
22) Input waveform timing is referenced from the input signal crossing at the VIH.AC level for a rising signal and VIL.AC for a falling signal applied  
to the device under test. See Figure 5.  
23) These parameters are measured from a command/address signal (CKE, CS, RAS, CAS, WE, ODT, BA0, A0, A1, etc.) transition edge to  
its respective clock signal (CK / CK) crossing. The spec values are not affected by the amount of clock jitter applied (i.e. tJIT.PER, tJIT.CC  
,
etc.), as the setup and hold are relative to the clock signal crossing that latches the command/address. That is, these parameters should  
be met whether clock jitter is present or not.  
24) Input waveform timing is referenced from the input signal crossing at the VIL.DC level for a rising signal and VIH.DC for a falling signal applied  
to the device under test. See Figure 5.  
25) tRPST end point and tRPRE begin point are not referenced to a specific voltage level but specify when the device output is no longer driving  
(tRPST), or begins driving (tRPRE). Figure 3 shows a method to calculate these points when the device is no longer driving (tRPST), or begins  
driving (tRPRE) by measuring the signal at two different voltages. The actual voltage measurement points are not critical as long as the  
calculation is consistent.  
26) When the device is operated with input clock jitter, this parameter needs to be derated by the actual tJIT.PER of the input clock. (output  
deratings are relative to the SDRAM input clock.) For example, if the measured jitter into a DDR2–667 SDRAM has tJIT.PER.MIN = – 72 ps  
and tJIT.PER.MAX = + 93 ps, then tRPRE.MIN(DERATED) = tRPRE.MIN + tJIT.PER.MIN = 0.9 x tCK.AVG – 72 ps = + 2178 ps and tRPRE.MAX(DERATED) = tRPRE.MAX  
+ tJIT.PER.MAX = 1.1 x tCK.AVG + 93 ps = + 2843 ps. (Caution on the MIN/MAX usage!).  
27) When the device is operated with input clock jitter, this parameter needs to be derated by the actual tJIT.DUTY of the input clock. (output  
deratings are relative to the SDRAM input clock.) For example, if the measured jitter into a DDR2–667 SDRAM has tJIT.DUTY.MIN = – 72 ps  
and tJIT.DUTY.MAX = + 93 ps, then tRPST.MIN(DERATED) = tRPST.MIN + tJIT.DUTY.MIN = 0.4 x tCK.AVG – 72 ps = + 928 ps and tRPST.MAX(DERATED) = tRPST.MAX  
+ tJIT.DUTY.MAX = 0.6 x tCK.AVG + 93 ps = + 1592 ps. (Caution on the MIN/MAX usage!).  
28) DAL = WR + RU{tRP(ns) / tCK(ns)}, where RU stands for round up. WR refers to the tWR parameter stored in the MRS. For tRP, if the result  
of the division is not already an integer, round up to the next highest integer. tCK refers to the application clock period. Example: For  
DDR2–533 at tCK = 3.75 ns with tWR programmed to 4 clocks. tDAL = 4 + (15 ns / 3.75 ns) clocks = 4 + (4) clocks = 8 clocks.  
29) tDAL.nCK = WR [nCK] + tnRP.nCK = WR + RU{tRP [ps] / tCK.AVG[ps] }, where WR is the value programmed in the EMR.  
30) tWTR is at lease two clocks (2 x tCK) independent of operation frequency.  
31) tCKE.MIN of 3 clocks means CKE must be registered on three consecutive positive clock edges. CKE must remain at the valid input level the  
entire time it takes to achieve the 3 clocks of registration. Thus, after any CKE transition, CKE may not transition from its valid level during  
the time period of tIS + 2 x tCK + tIH.  
Rev. 1.41, 2006-11  
22  
03062006-0GN5-WTPW  
Internet Data Sheet  
HYS[64/72]T[16/32/64]0xxHU–[2.5/../5]–A  
Unbuffered DDR2 SDRAM Modules  
TABLE 17  
DRAM Component Timing Parameter by Speed Grade - DDR2–667  
Parameter  
Symbol  
DDR2–667  
Unit  
Note1)2)3)4)5)6)7)  
8)  
Min.  
Max.  
9)  
DQ output access time from CK / CK  
DQS output access time from CK / CK  
Average clock high pulse width  
Average clock low pulse width  
Average clock period  
tAC  
–450  
–400  
0.48  
0.48  
3000  
100  
+450  
+400  
0.52  
0.52  
8000  
ps  
9)  
tDQSCK  
tCH.AVG  
tCL.AVG  
tCK.AVG  
tDS.BASE  
tDH.BASE  
ps  
10)11)  
10)11)  
tCK.AVG  
tCK.AVG  
ps  
12)13)14)  
13)14)15)  
DQ and DM input setup time  
DQ and DM input hold time  
ps  
175  
ps  
Control & address input pulse width for each input tIPW  
0.6  
tCK.AVG  
tCK.AVG  
ps  
DQ and DM input pulse width for each input  
Data-out high-impedance time from CK / CK  
DQS/DQS low-impedance time from CK / CK  
DQ low impedance time from CK/CK  
tDIPW  
tHZ  
tLZ.DQS  
tLZ.DQ  
0.35  
9)16)  
9)16)  
9)16)  
17)  
tAC.MAX  
tAC.MAX  
tAC.MAX  
240  
tAC.MIN  
2 x tAC.MIN  
ps  
ps  
DQS-DQ skew for DQS & associated DQ signals tDQSQ  
ps  
18)  
CK half pulse width  
tHP  
Min(tCH.ABS  
,
__  
ps  
tCL.ABS  
)
19)  
20)  
DQ hold skew factor  
tQHS  
tQH  
340  
ps  
DQ/DQS output hold time from DQS  
t
HP tQHS  
ps  
Write command to DQS associated clock edges WL  
RL–1  
nCK  
tCK.AVG  
21)  
DQS latching rising transition to associated clock tDQSS  
– 0.25  
+ 0.25  
edges  
DQS input high pulse width  
DQS input low pulse width  
DQS falling edge to CK setup time  
DQS falling edge hold time from CK  
Write postamble  
tDQSH  
tDQSL  
tDSS  
0.35  
0.35  
0.2  
0.6  
1.1  
0.6  
tCK.AVG  
tCK.AVG  
tCK.AVG  
tCK.AVG  
tCK.AVG  
tCK.AVG  
ps  
21)  
21)  
tDSH  
0.2  
tWPST  
tWPRE  
tIS.BASE  
tIH.BASE  
tRPRE  
tRPST  
tCCD  
0.4  
Write preamble  
0.35  
200  
275  
0.9  
22)23)  
23)24)  
25)26)  
25)27)  
Address and control input setup time  
Address and control input hold time  
Read preamble  
ps  
tCK.AVG  
tCK.AVG  
nCK  
ns  
Read postamble  
0.4  
CAS to CAS command delay  
Write recovery time  
2
28)  
tWR  
15  
28)29)  
28)30)  
28)  
Auto-Precharge write recovery + precharge time tDAL  
WR + tnRP  
7.5  
nCK  
ns  
Internal write to read command delay  
Internal Read to Precharge command delay  
Exit self-refresh to a non-read command  
Exit self-refresh to read command  
tWTR  
tRTP  
tXSNR  
tXSRD  
7.5  
ns  
28)  
t
RFC +10  
ns  
200  
nCK  
Rev. 1.41, 2006-11  
23  
03062006-0GN5-WTPW  
Internet Data Sheet  
HYS[64/72]T[16/32/64]0xxHU–[2.5/../5]–A  
Unbuffered DDR2 SDRAM Modules  
Parameter  
Symbol  
DDR2–667  
Unit  
Note1)2)3)4)5)6)7)  
8)  
Min.  
Max.  
Exit precharge power-down to any valid  
command (other than NOP or Deselect)  
tXP  
2
nCK  
Exit power down to read command  
tXARD  
2
nCK  
nCK  
Exit active power-down mode to read command tXARDS  
7 – AL  
(slow exit, lower power)  
31)  
CKE minimum pulse width ( high and low pulse tCKE  
3
nCK  
width)  
Mode register set command cycle time  
MRS command to ODT update delay  
OCD drive mode output delay  
tMRD  
tMOD  
tOIT  
2
0
0
12  
12  
nCK  
ns  
28)  
28)  
ns  
Minimum time clocks remain ON after CKE  
asynchronously drops LOW  
tDELAY  
tIS + tCK .AVG  
tIH  
+
ns  
1) For details and notes see the relevant Qimonda component data sheet  
2)  
DDQ = 1.8 V ± 0.1V; VDD = 1.8 V ± 0.1 V. See notes 5)6)7)8)  
V
3) Timing that is not specified is illegal and after such an event, in order to guarantee proper operation, the DRAM must be powered down  
and then restarted through the specified initialization sequence before normal operation can continue.  
4) Timings are guaranteed with CK/CK differential Slew Rate of 2.0 V/ns. For DQS signals timings are guaranteed with a differential Slew  
Rate of 2.0 V/ns in differential strobe mode and a Slew Rate of 1 V/ns in single ended mode.  
5) The CK / CK input reference level (for timing reference to CK / CK) is the point at which CK and CK cross. The DQS / DQS, RDQS / RDQS,  
input reference level is the crosspoint when in differential strobe mode.  
6) Inputs are not recognized as valid until VREF stabilizes. During the period before VREF stabilizes, CKE = 0.2 x VDDQ is recognized as low.  
7) The output timing reference voltage level is VTT  
.
8) New units, ‘tCK.AVG‘ and ‘nCK‘, are introduced in DDR2–667 and DDR2–800. Unit ‘tCK.AVG‘ represents the actual tCK.AVG of the input clock  
under operation. Unit ‘nCK‘ represents one clock cycle of the input clock, counting the actual clock edges. Note that in DDR2–400 and  
DDR2–533, ‘tCK‘ is used for both concepts. Example: tXP = 2 [nCK] means; if Power Down exit is registered at Tm, an Active command  
may be registered at Tm + 2, even if (Tm + 2 - Tm) is 2 x tCK.AVG + tERR.2PER(Min)  
.
9) When the device is operated with input clock jitter, this parameter needs to be derated by the actual tERR(6-10per) of the input clock. (output  
deratings are relative to the SDRAM input clock.) For example, if the measured jitter into a DDR2–667 SDRAM has tERR(6-10PER).MIN = – 272  
ps and tERR(6- 10PER).MAX = + 293 ps, then tDQSCK.MIN(DERATED) = tDQSCK.MIN tERR(6-10PER).MAX = – 400 ps – 293 ps = – 693 ps and  
tDQSCK.MAX(DERATED) = tDQSCK.MAX tERR(6-10PER).MIN = 400 ps + 272 ps = + 672 ps. Similarly, tLZ.DQ for DDR2–667 derates to tLZ.DQ.MIN(DERATED)  
= - 900 ps – 293 ps = – 1193 ps and tLZ.DQ.MAX(DERATED) = 450 ps + 272 ps = + 722 ps. (Caution on the MIN/MAX usage!)  
10) Input clock jitter spec parameter. These parameters are referred to as 'input clock jitter spec parameters' and these parameters apply to  
DDR2–667 and DDR2–800 only. The jitter specified is a random jitter meeting a Gaussian distribution.  
11) These parameters are specified per their average values, however it is understood that the relationship between the average timing and  
the absolute instantaneous timing holds all the times (min. and max of SPEC values are to be used for calculations ).  
12) Input waveform timing tDS with differential data strobe enabled MR[bit10] = 0, is referenced from the input signal crossing at the VIH.AC level  
to the differential data strobe crosspoint for a rising signal, and from the input signal crossing at the VIL.AC level to the differential data strobe  
crosspoint for a falling signal applied to the device under test. DQS, DQS signals must be monotonic between Vil(DC)MAX and Vih(DC)MIN. See  
Figure 4.  
13) If tDS or tDH is violated, data corruption may occur and the data must be re-written with valid data before a valid READ can be executed.  
14) These parameters are measured from a data signal ((L/U)DM, (L/U)DQ0, (L/U)DQ1, etc.) transition edge to its respective data strobe signal  
((L/U/R)DQS / DQS) crossing.  
15) Input waveform timing tDH with differential data strobe enabled MR[bit10] = 0, is referenced from the differential data strobe crosspoint to  
the input signal crossing at the VIH.DC level for a falling signal and from the differential data strobe crosspoint to the input signal crossing  
at the VIL.DC level for a rising signal applied to the device under test. DQS, DQS signals must be monotonic between VIL.DC.MAX and  
VIH.DC.MIN. See Figure 4.  
16) tHZ and tLZ transitions occur in the same access time as valid data transitions. These parameters are referenced to a specific voltage level  
which specifies when the device output is no longer driving (tHZ), or begins driving (tLZ) .  
17) tDQSQ: Consists of data pin skew and output pattern effects, and p-channel to n-channel variation of the output drivers as well as output  
slew rate mismatch between DQS / DQS and associated DQ in any given cycle.  
Rev. 1.41, 2006-11  
24  
03062006-0GN5-WTPW  
Internet Data Sheet  
HYS[64/72]T[16/32/64]0xxHU–[2.5/../5]–A  
Unbuffered DDR2 SDRAM Modules  
18) tHP is the minimum of the absolute half period of the actual input clock. tHP is an input parameter but not an input specification parameter.  
It is used in conjunction with tQHS to derive the DRAM output timing tQH. The value to be used for tQH calculation is determined by the  
following equation; tHP = MIN (tCH.ABS, tCL.ABS), where, tCH.ABS is the minimum of the actual instantaneous clock high time; tCL.ABS is the  
minimum of the actual instantaneous clock low time.  
19) tQHS accounts for: 1) The pulse duration distortion of on-chip clock circuits, which represents how well the actual tHP at the input is  
transferred to the output; and 2) The worst case push-out of DQS on one transition followed by the worst case pull-in of DQ on the next  
transition, both of which are independent of each other, due to data pin skew, output pattern effects, and pchannel to n-channel variation  
of the output drivers.  
20) tQH = tHP tQHS, where: tHP is the minimum of the absolute half period of the actual input clock; and tQHS is the specification value under the  
max column. {The less half-pulse width distortion present, the larger the tQH value is; and the larger the valid data eye will be.}  
Examples: 1) If the system provides tHP of 1315 ps into a DDR2–667 SDRAM, the DRAM provides tQH of 975 ps minimum. 2) If the system  
provides tHP of 1420 ps into a DDR2–667 SDRAM, the DRAM provides tQH of 1080 ps minimum.  
21) These parameters are measured from a data strobe signal ((L/U/R)DQS / DQS) crossing to its respective clock signal (CK / CK) crossing.  
The spec values are not affected by the amount of clock jitter applied (i.e. tJIT.PER, tJIT.CC, etc.), as these are relative to the clock signal  
crossing. That is, these parameters should be met whether clock jitter is present or not.  
22) Input waveform timing is referenced from the input signal crossing at the VIH.AC level for a rising signal and VIL.AC for a falling signal applied  
to the device under test. See Figure 5.  
23) These parameters are measured from a command/address signal (CKE, CS, RAS, CAS, WE, ODT, BA0, A0, A1, etc.) transition edge to  
its respective clock signal (CK / CK) crossing. The spec values are not affected by the amount of clock jitter applied (i.e. tJIT.PER, tJIT.CC  
,
etc.), as the setup and hold are relative to the clock signal crossing that latches the command/address. That is, these parameters should  
be met whether clock jitter is present or not.  
24) Input waveform timing is referenced from the input signal crossing at the VIL.DC level for a rising signal and VIH.DC for a falling signal applied  
to the device under test. See Figure 5.  
25) tRPST end point and tRPRE begin point are not referenced to a specific voltage level but specify when the device output is no longer driving  
(tRPST), or begins driving (tRPRE). Figure 3 shows a method to calculate these points when the device is no longer driving (tRPST), or begins  
driving (tRPRE) by measuring the signal at two different voltages. The actual voltage measurement points are not critical as long as the  
calculation is consistent.  
26) When the device is operated with input clock jitter, this parameter needs to be derated by the actual tJIT.PER of the input clock. (output  
deratings are relative to the SDRAM input clock.) For example, if the measured jitter into a DDR2–667 SDRAM has tJIT.PER.MIN = – 72 ps  
and tJIT.PER.MAX = + 93 ps, then tRPRE.MIN(DERATED) = tRPRE.MIN + tJIT.PER.MIN = 0.9 x tCK.AVG – 72 ps = + 2178 ps and tRPRE.MAX(DERATED) = tRPRE.MAX  
+ tJIT.PER.MAX = 1.1 x tCK.AVG + 93 ps = + 2843 ps. (Caution on the MIN/MAX usage!).  
27) When the device is operated with input clock jitter, this parameter needs to be derated by the actual tJIT.DUTY of the input clock. (output  
deratings are relative to the SDRAM input clock.) For example, if the measured jitter into a DDR2–667 SDRAM has tJIT.DUTY.MIN = – 72 ps  
and tJIT.DUTY.MAX = + 93 ps, then tRPST.MIN(DERATED) = tRPST.MIN + tJIT.DUTY.MIN = 0.4 x tCK.AVG – 72 ps = + 928 ps and tRPST.MAX(DERATED) = tRPST.MAX  
+ tJIT.DUTY.MAX = 0.6 x tCK.AVG + 93 ps = + 1592 ps. (Caution on the MIN/MAX usage!).  
28) DAL = WR + RU{tRP(ns) / tCK(ns)}, where RU stands for round up. WR refers to the tWR parameter stored in the MRS. For tRP, if the result  
of the division is not already an integer, round up to the next highest integer. tCK refers to the application clock period. Example: For  
DDR2–533 at tCK = 3.75 ns with tWR programmed to 4 clocks. tDAL = 4 + (15 ns / 3.75 ns) clocks = 4 + (4) clocks = 8 clocks.  
29) tDAL.nCK = WR [nCK] + tnRP.nCK = WR + RU{tRP [ps] / tCK.AVG[ps] }, where WR is the value programmed in the EMR.  
30) tWTR is at lease two clocks (2 x tCK) independent of operation frequency.  
31) tCKE.MIN of 3 clocks means CKE must be registered on three consecutive positive clock edges. CKE must remain at the valid input level the  
entire time it takes to achieve the 3 clocks of registration. Thus, after any CKE transition, CKE may not transition from its valid level during  
the time period of tIS + 2 x tCK + tIH.  
Rev. 1.41, 2006-11  
25  
03062006-0GN5-WTPW  
                                                                
                                                                       
                                                                                                         
5(ꢀEHJLꢀQꢀSRLQWꢀ  
                                                                                                                            
                                        
7
                                         
H  
                                                 
ꢀSRLꢀQW  
                                                     
                                                      
92/  
                                                                
ꢀꢍꢀꢈ[ꢀP9  
ꢀꢍꢀ[ꢀP9  
                                                                       
977ꢀꢇꢀ[ꢀP  
                                                                                    
9ꢀ  
92/  
                                                                
                                                                      
977ꢀꢇꢀꢈ[ꢀP9ꢀ  
7ꢂꢀ 7ꢈꢀ  
                                                     
W+=ꢎW536  
                                       
7ꢀHQG  
                                        
                                                    
ꢀSRLQ  
                                                       
W  
 ꢀꢈꢏ  
                                                   
7ꢂꢇ7ꢈꢀ  
                                                     
                                                      
W/=ꢎW  
                                                                        
535(ꢀE  
                                                                           
                                                                            
                                                                                                 
                                                                                                    
W  
 ꢀꢈꢏ7  
                                                                                        
ꢂꢇ7ꢈꢀ  
                                                                                           
                                                                                            
'46ꢀ  
                                         
W'+ꢀ  
W'6ꢀ  
                                                                         
                                                                                                                       
9,+ꢐDFꢑPLQ  
9,+ꢐGFꢑPLQ  
95 ()ꢐGFꢑꢀ  
                                                                                                   
                                                                                                                        
                                                                                                   
                                                                                                                        
9,/ꢐGFꢑPD[  
9,/ꢐDFꢑPD[  
96 6ꢀ  
                                                                                                   
                                                                                                                       
                                                                                                                       
                                                                                                   
                                                                                                                       
                                                                                                                       
W,+ꢀ  
                                                                         
W,+  
                                                      
W,6ꢀ  
W,6ꢀ  
                                                
                                                                                                                
                                                                                                                 
ꢑꢀ  
                                                                                                                 
ꢑꢀ  
9,/ꢐGF ꢀPD[  
                                                                                             
                                                                                                                 
ꢑꢀ  
9,/ꢐDF ꢀPD[  
                                                                                             
                                                                                                                 
ꢑꢀ  
Internet Data Sheet  
HYS[64/72]T[16/32/64]0xxHU–[2.5/../5]–A  
Unbuffered DDR2 SDRAM Modules  
FIGURE 3  
Method for calculating transitions and endpoint  
92+  
                                                                
ꢀꢇꢀ[ꢀP9  
                                                                      
977ꢀꢍꢀꢈ[ꢀP9ꢀ  
977ꢀꢍꢀ[ꢀP9ꢀ  
92+  
ꢀꢇꢀꢈ[ꢀP9ꢀ  
W/=ꢀ  
W53  
W+=ꢀ  
W536 QG  
7ꢂꢀ 7ꢈꢀ  
HJLQꢀSRLQ  
FIGURE 4  
Differential input waveform timing - tDS and tDS  
'46ꢀ  
W'+ꢀ  
W'6ꢀ  
9' '4  
FIGURE 5  
Differential input waveform timing - tlS and tlH  
&.ꢀ  
&.ꢀ  
9' '4  
9,+ꢐDF ꢀPLQꢀ  
9,+ꢐGF ꢀPLQꢀ  
95 ()ꢐGFꢑꢀ  
96 6ꢀ  
Rev. 1.41, 2006-11  
03062006-0GN5-WTPW  
26  
Internet Data Sheet  
HYS[64/72]T[16/32/64]0xxHU–[2.5/../5]–A  
Unbuffered DDR2 SDRAM Modules  
TABLE 18  
DRAM Component Timing Parameter by Speed Grade - DDR2–533  
Parameter  
Symbol  
DDR2–533  
Unit  
Note1)2)3)4)5)  
6)7)  
Min.  
Max.  
DQ output access time from CK / CK  
CAS A to CAS B command period  
CK, CK high-level width  
tAC  
–500  
2
+500  
ps  
tCCD  
tCH  
tCKE  
tCL  
tCK  
tCK  
tCK  
tCK  
tCK  
0.45  
3
0.55  
CKE minimum high and low pulse width  
CK, CK low-level width  
0.45  
WR + tRP  
0.55  
8)18)  
9)  
Auto-Precharge write recovery + precharge  
time  
tDAL  
Minimum time clocks remain ON after CKE  
asynchronously drops LOW  
tDELAY  
tIS + tCK + tIH  
225  
ns  
ps  
ps  
10)  
11)  
DQ and DM input hold time (differential data  
strobe)  
t
t
DH(base)  
DQ and DM input hold time (single ended data  
strobe)  
DH1(base)  
–25  
DQ and DM input pulse width (each input)  
DQS output access time from CK / CK  
tDIPW  
0.35  
–450  
0.35  
tCK  
ps  
tCK  
ps  
tDQSCK  
+450  
DQS input low (high) pulse width (write cycle) tDQSL,H  
11)  
DQS-DQ skew (for DQS & associated DQ  
signals)  
tDQSQ  
300  
Write command to 1st DQS latching transition tDQSS  
– 0.25  
100  
+ 0.25  
tCK  
11)  
11)  
DQ and DM input setup time (differential data  
strobe)  
t
DS(base)  
ps  
DQ and DM input setup time (single ended data tDS1(base)  
strobe)  
–25  
0.2  
ps  
DQS falling edge hold time from CK (write  
cycle)  
tDSH  
tCK  
DQS falling edge to CK setup time (write cycle) tDSS  
0.2  
tCK  
12)  
13)  
11)  
Clock half period  
tHP  
MIN. (tCL, tCH)  
Data-out high-impedance time from CK / CK  
Address and control input hold time  
tHZ  
tAC.MAX  
ps  
ps  
tCK  
tIH(base)  
tIPW  
375  
0.6  
Address and control input pulse width  
(each input)  
11)  
14)  
14)  
Address and control input setup time  
DQ low-impedance time from CK / CK  
DQS low-impedance from CK / CK  
Mode register set command cycle time  
OCD drive mode output delay  
tIS(base)  
tLZ(DQ)  
tLZ(DQS)  
tMRD  
250  
ps  
ps  
ps  
tCK  
ns  
ps  
2 × tAC.MIN  
tAC.MAX  
tAC.MAX  
tAC.MIN  
2
0
tOIT  
12  
Data output hold time from DQS  
Data hold skew factor  
tQH  
t
HP tQHS  
tQHS  
400  
Rev. 1.41, 2006-11  
27  
03062006-0GN5-WTPW  
Internet Data Sheet  
HYS[64/72]T[16/32/64]0xxHU–[2.5/../5]–A  
Unbuffered DDR2 SDRAM Modules  
Parameter  
Symbol  
DDR2–533  
Min.  
Unit  
Note1)2)3)4)5)  
6)7)  
Max.  
14)15)  
16)18)  
17)  
Average periodic refresh Interval  
tREFI  
tRFC  
75  
7.8  
3.9  
µs  
µs  
ns  
Auto-Refresh to Active/Auto-Refresh  
command period  
Precharge-All (4 banks) command period  
Precharge-All (8 banks) command period  
Read preamble  
tRP  
t
RP + 1tCK  
ns  
ns  
tCK  
tCK  
ns  
ns  
ns  
tCK  
tCK  
ns  
tRP  
15 + 1tCK  
0.9  
14)  
tRPRE  
tRPST  
tRRD  
1.1  
0.60  
14)  
Read postamble  
0.40  
7.5  
14)18)  
16)20)  
Active bank A to Active bank B command  
period  
10  
Internal Read to Precharge command delay  
Write preamble  
tRTP  
7.5  
tWPRE  
tWPST  
tWR  
0.25  
0.40  
15  
19)  
20)  
Write postamble  
0.60  
Write recovery time for write without Auto-  
Precharge  
Write recovery time for write with Auto-  
Precharge  
WR  
t
WR/tCK  
tCK  
21)  
22)  
Internal Write to Read command delay  
tWTR  
7.5  
2
ns  
Exit power down to any valid command  
(other than NOP or Deselect)  
tXARD  
tCK  
22)  
Exit active power-down mode to Read  
command (slow exit, lower power)  
tXARDS  
tXP  
6 – AL  
2
tCK  
tCK  
Exit precharge power-down to any valid  
command (other than NOP or Deselect)  
Exit Self-Refresh to non-Read command  
Exit Self-Refresh to Read command  
tXSNR  
tXSRD  
t
RFC +10  
ns  
200  
tCK  
1) For details and notes see the relevant Qimonda component data sheet  
2)  
DDQ = 1.8 V ± 0.1 V; VDD = 1.8 V ±0.1 V. See notes 5)6)7)8)  
V
3) Timing that is not specified is illegal and after such an event, in order to guarantee proper operation, the DRAM must be powered down  
and then restarted through the specified initialization sequence before normal operation can continue.  
4) Timings are guaranteed with CK/CK differential Slew Rate of 2.0 V/ns. For DQS signals timings are guaranteed with a differential Slew  
Rate of 2.0 V/ns in differential strobe mode and a Slew Rate of 1 V/ns in single ended mode.  
5) The CK / CK input reference level (for timing reference to CK / CK) is the point at which CK and CK cross. The DQS / DQS, RDQS/ RDQS,  
input reference level is the crosspoint when in differential strobe mode.  
6) Inputs are not recognized as valid until VREF stabilizes. During the period before VREF stabilizes, CKE = 0.2 x VDDQ is recognized as low.  
7) The output timing reference voltage level is VTT  
.
8) For each of the terms, if not already an integer, round to the next highest integer. tCK refers to the application clock period. WR refers to  
the WR parameter stored in the MR.  
9) The clock frequency is allowed to change during self-refresh mode or precharge power-down mode.  
10) For timing definition, refer to the Component data sheet.  
11) Consists of data pin skew and output pattern effects, and p-channel to n-channel variation of the output drivers as well as output Slew Rate  
mis-match between DQS / DQS and associated DQ in any given cycle.  
12) MIN (tCL, tCH) refers to the smaller of the actual clock low time and the actual clock high time as provided to the device (i.e. this value can  
be greater than the minimum specification limits for tCL and tCH).  
Rev. 1.41, 2006-11  
28  
03062006-0GN5-WTPW  
Internet Data Sheet  
HYS[64/72]T[16/32/64]0xxHU–[2.5/../5]–A  
Unbuffered DDR2 SDRAM Modules  
13) The tHZ, tRPST and tLZ, tRPRE parameters are referenced to a specific voltage level, which specify when the device output is no longer driving  
(tHZ, tRPST), or begins driving (tLZ, tRPRE). tHZ and tLZ transitions occur in the same access time windows as valid data transitions.These  
parameters are verified by design and characterization, but not subject to production test.  
14) The Auto-Refresh command interval has be reduced to 3.9 µs when operating the DDR2 DRAM in a temperature range between 85 °C  
and 95 °C.  
15) 0 °CTCASE 85 °C  
16) 85 °C < TCASE 95 °C  
17) A maximum of eight Auto-Refresh commands can be posted to any given DDR2 SDRAM device.  
18) The tRRD timing parameter depends on the page size of the DRAM organization. See Table 2 “Ordering Information for RoHS  
Compliant Products” on Page 4.  
19) The maximum limit for the tWPST parameter is not a device limit. The device operates with a greater value for this parameter, but system  
performance (bus turnaround) degrades accordingly.  
20) WR must be programmed to fulfill the minimum requirement for the tWR timing parameter, where WRMIN[cycles] = tWR(ns)/tCK(ns) rounded  
up to the next integer value. tDAL = WR + (tRP/tCK). For each of the terms, if not already an integer, round to the next highest integer. tCK  
refers to the application clock period. WR refers to the WR parameter stored in the MRS.  
21) Minimum tWTR is two clocks when operating the DDR2-SDRAM at frequencies ≤ 200 ΜΗz.  
22) User can choose two different active power-down modes for additional power saving via MRS address bit A12. In “standard active power-  
down mode” (MR, A12 = “0”) a fast power-down exit timing tXARD can be used. In “low active power-down mode” (MR, A12 =”1”) a slow  
power-down exit timing tXARDS has to be satisfied.  
TABLE 19  
DRAM Component Timing Parameter by Speed Grade - DDR2-400  
Parameter  
Symbol  
DDR2–400  
Unit  
Note1)2)3)4)5)  
6)7)  
Min.  
Max.  
DQ output access time from CK / CK  
CAS A to CAS B command period  
CK, CK high-level width  
tAC  
–600  
2
+600  
ps  
tCCD  
tCH  
tCKE  
tCL  
tCK  
tCK  
tCK  
tCK  
tCK  
0.45  
3
0.55  
CKE minimum high and low pulse width  
CK, CK low-level width  
0.45  
WR + tRP  
0.55  
8)22)  
9)  
Auto-Precharge write recovery + precharge  
time  
tDAL  
Minimum time clocks remain ON after CKE  
asynchronously drops LOW  
tDELAY  
tIS + tCK + tIH  
275  
ns  
ps  
ps  
10)  
11)  
DQ and DM input hold time (differential data  
strobe)  
t
t
DH(base)  
DH1(base)  
DQ and DM input hold time (single ended data  
strobe)  
–25  
DQ and DM input pulse width (each input)  
DQS output access time from CK / CK  
tDIPW  
0.35  
–500  
0.35  
tCK  
ps  
tCK  
ps  
tDQSCK  
+500  
DQS input low (high) pulse width (write cycle) tDQSL,H  
11)  
DQS-DQ skew (for DQS & associated DQ  
signals)  
tDQSQ  
350  
Write command to 1st DQS latching transition tDQSS  
– 0.25  
150  
+ 0.25  
tCK  
11)  
11)  
DQ and DM input setup time (differential data  
strobe)  
t
DS(base)  
ps  
DQ and DM input setup time (single ended  
data strobe)  
t
DS1(base)  
–25  
ps  
Rev. 1.41, 2006-11  
29  
03062006-0GN5-WTPW  
Internet Data Sheet  
HYS[64/72]T[16/32/64]0xxHU–[2.5/../5]–A  
Unbuffered DDR2 SDRAM Modules  
Parameter  
Symbol  
DDR2–400  
Unit  
Note1)2)3)4)5)  
6)7)  
Min.  
Max.  
DQS falling edge hold time from CK (write  
cycle)  
tDSH  
0.2  
tCK  
tCK  
DQS falling edge to CK setup time (write cycle) tDSS  
0.2  
12)  
13)  
11)  
Clock half period  
tHP  
MIN. (tCL, tCH)  
Data-out high-impedance time from CK / CK  
Address and control input hold time  
tHZ  
tAC.MAX  
ps  
ps  
tCK  
tIH(base)  
tIPW  
475  
0.6  
Address and control input pulse width  
(each input)  
11)  
14)  
14)  
Address and control input setup time  
DQ low-impedance time from CK / CK  
DQS low-impedance from CK / CK  
Mode register set command cycle time  
OCD drive mode output delay  
tIS(base)  
tLZ(DQ)  
tLZ(DQS)  
tMRD  
350  
ps  
ps  
ps  
tCK  
ns  
2 × tAC.MIN  
tAC.MAX  
tAC.MAX  
tAC.MIN  
2
0
tOIT  
12  
Data output hold time from DQS  
Data hold skew factor  
tQH  
t
HP tQHS  
tQHS  
450  
7.8  
ps  
µs  
µs  
ns  
14)15)  
16)18)  
17)  
Average periodic refresh Interval  
tREFI  
3.9  
Auto-Refresh to Active/Auto-Refresh  
command period  
tRFC  
75  
Precharge-All (4 banks) command period  
Precharge-All (8 banks) command period  
Read preamble  
tRP  
t
RP + 1tCK  
ns  
ns  
tCK  
tCK  
ns  
ns  
ns  
tCK  
tCK  
ns  
tRP  
15 + 1tCK  
0.9  
14)  
tRPRE  
tRPST  
tRRD  
1.1  
0.60  
14)  
Read postamble  
0.40  
7.5  
14)18)  
16)20)  
Active bank A to Active bank B command  
period  
10  
Internal Read to Precharge command delay  
Write preamble  
tRTP  
7.5  
tWPRE  
tWPST  
tWR  
0.25  
0.40  
15  
19)  
20)  
Write postamble  
0.60  
Write recovery time for write without Auto-  
Precharge  
Write recovery time for write with Auto-  
Precharge  
WR  
t
WR/tCK  
tCK  
21)  
22)  
Internal Write to Read command delay  
tWTR  
10  
2
ns  
Exit power down to any valid command  
(other than NOP or Deselect)  
tXARD  
tCK  
22)  
Exit active power-down mode to Read  
command (slow exit, lower power)  
tXARDS  
tXP  
6 – AL  
2
tCK  
tCK  
Exit precharge power-down to any valid  
command (other than NOP or Deselect)  
Exit Self-Refresh to non-Read command  
Exit Self-Refresh to Read command  
tXSNR  
tXSRD  
t
RFC +10  
ns  
200  
tCK  
Rev. 1.41, 2006-11  
30  
03062006-0GN5-WTPW  
Internet Data Sheet  
HYS[64/72]T[16/32/64]0xxHU–[2.5/../5]–A  
Unbuffered DDR2 SDRAM Modules  
1) For details and notes see the relevant Qimonda component data sheet  
2)  
DDQ = 1.8 V ± 0.1 V; VDD = 1.8 V ±0.1 V. See notes 5)6)7)8)  
V
3) Timing that is not specified is illegal and after such an event, in order to guarantee proper operation, the DRAM must be powered down  
and then restarted through the specified initialization sequence before normal operation can continue.  
4) Timings are guaranteed with CK/CK differential Slew Rate of 2.0 V/ns. For DQS signals timings are guaranteed with a differential Slew  
Rate of 2.0 V/ns in differential strobe mode and a Slew Rate of 1 V/ns in single ended mode.  
5) The CK / CK input reference level (for timing reference to CK / CK) is the point at which CK and CK cross. The DQS / DQS, RDQS/ RDQS,  
input reference level is the crosspoint when in differential strobe mode.  
6) Inputs are not recognized as valid until VREF stabilizes. During the period before VREF stabilizes, CKE = 0.2 x VDDQ is recognized as low.  
7) The output timing reference voltage level is VTT  
.
8) For each of the terms, if not already an integer, round to the next highest integer. tCK refers to the application clock period. WR refers to  
the WR parameter stored in the MR.  
9) The clock frequency is allowed to change during self-refresh mode or precharge power-down mode.  
10) For timing definition, refer to the Component data sheet.  
11) Consists of data pin skew and output pattern effects, and p-channel to n-channel variation of the output drivers as well as output Slew Rate  
mis-match between DQS / DQS and associated DQ in any given cycle.  
12) MIN (tCL, tCH) refers to the smaller of the actual clock low time and the actual clock high time as provided to the device (i.e. this value can  
be greater than the minimum specification limits for tCL and tCH).  
13) The tHZ, tRPST and tLZ, tRPRE parameters are referenced to a specific voltage level, which specify when the device output is no longer driving  
(tHZ, tRPST), or begins driving (tLZ, tRPRE). tHZ and tLZ transitions occur in the same access time windows as valid data transitions.These  
parameters are verified by design and characterization, but not subject to production test.  
14) The Auto-Refresh command interval has be reduced to 3.9 µs when operating the DDR2 DRAM in a temperature range between 85 °C  
and 95 °C.  
15) 0 °CTCASE 85 °C  
16) 85 °C < TCASE 95 °C  
17) A maximum of eight Auto-Refresh commands can be posted to any given DDR2 SDRAM device.  
18) The tRRD timing parameter depends on the page size of the DRAM organization. See Table 2 “Ordering Information for RoHS  
Compliant Products” on Page 4.  
19) The maximum limit for the tWPST parameter is not a device limit. The device operates with a greater value for this parameter, but system  
performance (bus turnaround) degrades accordingly.  
20) WR must be programmed to fulfill the minimum requirement for the tWR timing parameter, where WRMIN[cycles] = tWR(ns)/tCK(ns) rounded  
up to the next integer value. tDAL = WR + (tRP/tCK). For each of the terms, if not already an integer, round to the next highest integer. tCK  
refers to the application clock period. WR refers to the WR parameter stored in the MRS.  
21) Minimum tWTR is two clocks when operating the DDR2-SDRAM at frequencies ≤ 200 ΜΗz.  
22) User can choose two different active power-down modes for additional power saving via MRS address bit A12. In “standard active power-  
down mode” (MR, A12 = “0”) a fast power-down exit timing tXARD can be used. In “low active power-down mode” (MR, A12 =”1”) a slow  
power-down exit timing tXARDS has to be satisfied.  
Rev. 1.41, 2006-11  
31  
03062006-0GN5-WTPW  
Internet Data Sheet  
HYS[64/72]T[16/32/64]0xxHU–[2.5/../5]–A  
Unbuffered DDR2 SDRAM Modules  
3.3.3  
ODT AC Electrical Characteristics  
Table 20 “ODT AC Character. and Operating Conditions for DDR2-667 & DDR2-800” on Page 32  
Table 21 “ODT AC Character. and Operating Conditions for DDR2-533 & DDR2-400” on Page 33  
TABLE 20  
ODT AC Character. and Operating Conditions for DDR2-667 & DDR2-800  
Symbol  
Parameter / Condition  
Values  
Unit  
Note  
Min.  
Max.  
1)  
tAOND  
tAON  
ODT turn-on delay  
2
2
nCK  
ns  
1)2)  
1)  
ODT turn-on  
tAC.MIN  
tAC.MAX + 0.7 ns  
tAONPD  
tAOFD  
tAOF  
ODT turn-on (Power-Down Modes)  
ODT turn-off delay  
t
AC.MIN + 2 ns  
2 tCK +  
t
AC.MAX + 1 ns  
ns  
1)  
2.5  
2.5  
nCK  
ns  
1)3)  
1)  
ODT turn-off  
tAC.MIN  
tAC.MAX + 0.6 ns  
tAOFPD  
tANPD  
tAXPD  
ODT turn-off (Power-Down Modes)  
ODT to Power Down Mode Entry Latency  
ODT Power Down Exit Latency  
t
AC.MIN + 2 ns  
2.5 tCK +  
t
AC.MAX + 1 ns  
ns  
1)  
3
8
nCK  
nCK  
1)  
1) New units, 'tCK.AVG' and 'nCK', are introduced in DDR2-667 and DDR2-800. Unit 'tCK.AVG' represents the actual tCK.AVG of the input clock  
under operation. Unit 'nCK' represents one clock cycle of the input clock, counting the actual clock edges. Note that in DDR2-400 and  
DDR2-533, 'tCK' is used for both concepts. Example: tXP = 2 [nCK] means; if Power Down exit is registered at Tm, an Active command may  
be registered at Tm + 2, even if (Tm + 2 - Tm) is 2 × tCK.AVG+ tEPR.2PER(MIN)  
.
2) ODT turn on time min is when the device leaves high impedance and ODT resistance begins to turn on. ODT turn on time max is when  
the ODT resistance is fully on. Both are measured from tAOND, which is interpreted differently per speed bin. For DDR2-667/800, tAOND is  
2 clock cycles after the clock edge that registered a first ODT HIGH counting the actual input clock edges.  
3) ODT turn off time min. is when the device starts to turn off ODT resistance. ODT turn off time max is when the bus is in high impedance.  
Both are measured from tAOFD. Both are measured from tAOFD, which is interpreted differently per speed bin. For DDR2-667/800,if tCK.AVG  
=
3 ns is assumed, tAOFD= 1.5 ns (0.5 × 3 ns) after the second trailing clock edge counting from the clock edge that registered a first ODT  
LOW and by counting the actual input clock edge.  
Rev. 1.41, 2006-11  
32  
03062006-0GN5-WTPW  
Internet Data Sheet  
HYS[64/72]T[16/32/64]0xxHU–[2.5/../5]–A  
Unbuffered DDR2 SDRAM Modules  
TABLE 21  
ODT AC Character. and Operating Conditions for DDR2-533 & DDR2-400  
Symbol  
Parameter / Condition  
Values  
Unit  
Note  
Min.  
Max.  
tAOND  
tAON  
ODT turn-on delay  
2
2
tCK  
ns  
ns  
tCK  
ns  
ns  
tCK  
tCK  
1)  
2)  
ODT turn-on  
tAC.MIN  
tAC.MAX + 1 ns  
tAONPD  
tAOFD  
tAOF  
ODT turn-on (Power-Down Modes)  
ODT turn-off delay  
t
AC.MIN + 2 ns  
2 tCK + tAC.MAX + 1 ns  
2.5  
2.5  
ODT turn-off  
tAC.MIN  
tAC.MAX + 0.6 ns  
tAOFPD  
tANPD  
tAXPD  
ODT turn-off (Power-Down Modes)  
ODT to Power Down Mode Entry Latency  
ODT Power Down Exit Latency  
t
AC.MIN + 2 ns  
2.5 tCK + tAC.MAX + 1 ns  
3
8
1) ODT turn on time min is when the device leaves high impedance and ODT resistance begins to turn on. ODT turn on time max is when  
the ODT resistance is fully on. Both are measured from tAOND, which is interpreted differently per speed bin. For DDR2-400/533, tAOND is  
10 ns (= 2 x 5 ns) after the clock edge that registered a first ODT HIGH if tCK = 5 ns.  
2) ODT turn off time min. is when the device starts to turn off ODT resistance. ODT turn off time max is when the bus is in high impedance.  
Both are measured from tAOFD. Both are measured from tAOFD, which is interpreted differently per speed bin. For DDR2-400/533, tAOFD is  
12.5 ns (= 2.5 x 5 ns) after the clock edge that registered a first ODT HIGH if tCK = 5 ns.  
Rev. 1.41, 2006-11  
33  
03062006-0GN5-WTPW  
Internet Data Sheet  
HYS[64/72]T[16/32/64]0xxHU–[2.5/../5]–A  
Unbuffered DDR2 SDRAM Modules  
3.4  
IDD Specifications and Conditions  
List of tables defining IDD Specifications and Conditions.  
Table 22 “IDD Measurement Conditions” on Page 34  
Table 23 “Definitions for IDD” on Page 35  
Table 24 “I DD Specification for HYS[64/72]T[32/64]xxxHU-[2.5/2.5F]–A” on Page 36  
Table 25 “I DD Specification for HYS[64/72]T[32/64]xxxHU-[3/3S]–A” on Page 37  
Table 26 “I DD Specification for HYS[64/72]T[16/32/64]xxxHU-[3.7/5]–A” on Page 38  
TABLE 22  
DD Measurement Conditions  
I
Parameter  
Symbol Note  
1)2)3)4)5)  
Operating Current 0  
IDD0  
One bank Active - Precharge; tCK = tCK.MIN, tRC = tRC.MIN, tRAS = tRAS.MIN, CKE is HIGH, CS is HIGH between  
valid commands. Address and control inputs are SWITCHING, Databus inputs are SWITCHING.  
6)  
Operating Current 1  
IDD1  
One bank Active - Read - Precharge; IOUT = 0 mA, BL = 4, tCK = tCK.MIN, tRC = tRC.MIN, tRAS = tRAS.MIN  
,
t
RCD = tRCD.MIN, AL = 0, CL = CLMIN; CKE is HIGH, CS is HIGH between valid commands. Address and  
control inputs are SWITCHING, Databus inputs are SWITCHING.  
Precharge Standby Current  
IDD2N  
All banks idle; CS is HIGH; CKE is HIGH; tCK = tCK.MIN; Other control and address inputs are SWITCHING,  
Databus inputs are SWITCHING.  
Precharge Power-Down Current  
Other control and address inputs are STABLE, Data bus inputs are FLOATING.  
IDD2P  
IDD2Q  
Precharge Quiet Standby Current  
All banks idle; CS is HIGH; CKE is HIGH; tCK = tCK.MIN; Other control and address inputs are STABLE,  
Data bus inputs are FLOATING.  
Active Standby Current  
IDD3N  
Burst Read: All banks open; Continuous burst reads; BL = 4; AL = 0, CL = CLMIN; tCK = tCK.MIN  
;
t
RAS = tRAS.MAX, tRP = tRP.MIN; CKE is HIGH, CS is HIGH between valid commands. Address inputs are  
SWITCHING; Data Bus inputs are SWITCHING; IOUT = 0 mA.  
Active Power-Down Current  
All banks open; tCK = tCK.MIN, CKE is LOW; Other control and address inputs are STABLE, Data bus inputs  
are FLOATING. MRS A12 bit is set to LOW (Fast Power-down Exit);  
IDD3P(0)  
IDD3P(1)  
IDD4R  
Active Power-Down Current  
All banks open; tCK = tCK.MIN, CKE is LOW; Other control and address inputs are STABLE, Data bus inputs  
are FLOATING. MRS A12 bit is set to HIGH (Slow Power-down Exit);  
6)  
Operating Current - Burst Read  
All banks open; Continuous burst reads; BL = 4; AL = 0, CL = CLMIN; tCK = tCKMIN; tRAS = tRASMAX  
;
t
RP = tRPMIN; CKE is HIGH, CS is HIGH between valid commands; Address inputs are SWITCHING; Data  
bus inputs are SWITCHING; IOUT = 0mA.  
Operating Current - Burst Write  
IDD4W  
All banks open; Continuous burst writes; BL = 4; AL = 0, CL = CLMIN; tCK = tCK.MIN  
;
t
RAS = tRAS.MAX., tRP = tRP.MAX; CKE is HIGH, CS is HIGH between valid commands. Address inputs are  
SWITCHING; Data Bus inputs are SWITCHING;  
Burst Refresh Current  
IDD5B  
t
CK = tCK.MIN., Refresh command every tRFC = tRFC.MIN interval, CKE is HIGH, CS is HIGH between valid  
commands, Other control and address inputs are SWITCHING, Data bus inputs are SWITCHING.  
Rev. 1.41, 2006-11  
34  
03062006-0GN5-WTPW  
Internet Data Sheet  
HYS[64/72]T[16/32/64]0xxHU–[2.5/../5]–A  
Unbuffered DDR2 SDRAM Modules  
Parameter  
Symbol Note  
1)2)3)4)5)  
Distributed Refresh Current  
IDD5D  
t
CK = tCK.MIN., Refresh command every tRFC = tREFI interval, CKE is LOW and CS is HIGH between valid  
commands, Other control and address inputs are SWITCHING, Data bus inputs are SWITCHING.  
Self-Refresh Current  
IDD6  
CKE 0.2 V; external clock off, CK and CK at 0 V; Other control and address inputs are FLOATING, Data  
bus inputs are FLOATING. IDD6 current values are guaranteed up to TCASE of 85 °C max.  
6)  
All Bank Interleave Read Current  
IDD7  
All banks are being interleaved at minimum tRC without violating tRRD using a burst length of 4. Control  
and address bus inputs are STABLE during DESELECTS. Iout = 0 mA.  
1)  
2)  
V
DDQ = 1.8 V ± 0.1 V; VDD = 1.8 V ± 0.1 V  
IDD specifications are tested after the device is properly initialized and IDD parameter are specified with ODT disabled.  
3) Definitions for IDD see Table 23.  
4) For two rank modules: for all active current measurements the other rank is in Precharge Power-Down Mode IDD2P  
5) For details and notes see the relevant Qimonda component data sheet.  
.
6)  
IDD1, IDD4R and IDD7 current measurements are defined with the outputs disabled (IOUT = 0 mA). To achieve this on module level the output  
buffers can be disabled using an EMRS(1) (Extended Mode Register Command) by setting A12 bit to HIGH.  
TABLE 23  
Definitions for IDD  
Parameter  
LOW  
Description  
VIN VIL(ac).MAX, HIGH is defined as VIN VIH(ac).MIN  
Inputs are stable at a HIGH or LOW level  
Inputs are VREF = VDDQ /2  
STABLE  
FLOATING  
SWITCHING  
Inputs are changing between HIGH and LOW every other clock (once per 2 cycles) for address and control  
signals, and inputs changing between HIGH and LOW every other data transfer (once per cycle) for DQ  
signals not including mask or strobes  
Rev. 1.41, 2006-11  
35  
03062006-0GN5-WTPW  
Internet Data Sheet  
HYS[64/72]T[16/32/64]0xxHU–[2.5/../5]–A  
Unbuffered DDR2 SDRAM Modules  
TABLE 24  
I
DD Specification for HYS[64/72]T[32/64]xxxHU-[2.5/2.5F]–A  
Product Type  
Unit  
Note1)  
Organization  
256MB 512MB  
256MB 512MB  
256MB 512MB  
256MB 512MB  
1 Rank 2 Ranks 1 Rank 2 Ranks 1 Rank 2 Ranks 1 Rank 2 Ranks  
x64  
x64  
x72  
x72  
x64  
x64  
x72  
x72  
–2.5  
Max.  
–2.5  
Max.  
–2.5  
Max.  
–2.5  
Max.  
–25F  
Max.  
–25F  
Max.  
–25F  
Max.  
–25F  
Max.  
Symbol  
2)  
IDD0  
600  
680  
400  
40  
640  
720  
800  
80  
680  
770  
450  
50  
720  
810  
900  
90  
640  
720  
400  
40  
680  
760  
800  
80  
720  
810  
450  
50  
770  
860  
900  
90  
mA  
mA  
mA  
mA  
mA  
mA  
mA  
mA  
mA  
mA  
mA  
mA  
mA  
mA  
2)  
IDD1  
3)  
IDD2N  
3)  
IDD2P  
3)  
IDD2Q  
280  
180  
40  
560  
350  
80  
320  
200  
50  
630  
400  
90  
280  
180  
40  
560  
350  
80  
320  
200  
50  
630  
400  
90  
3)  
IDD3P( MRS = 0)  
IDD3P( MRS = 1)  
IDD3N  
3)  
3)  
400  
1000  
1080  
760  
50  
800  
1040  
1120  
800  
100  
64  
450  
1130  
1220  
860  
50  
900  
1170  
1260  
900  
110  
72  
400  
1000  
1080  
760  
50  
800  
1040  
1120  
800  
100  
64  
450  
1130  
1220  
860  
50  
900  
1170  
1260  
900  
110  
72  
2)  
IDD4R  
2)  
IDD4W  
IDD5B  
2)  
3)4)  
3)4)  
2)  
IDD5D  
IDD6  
32  
36  
32  
36  
IDD7  
1240  
1280  
1400  
1440  
1320  
1360  
1485  
1530  
1) Calculated values from component data. ODT disabled. IDD1 , IDD4R and IDD7 are defined with the outputs disabled.  
2) The other rank is in IDD2P Precharge Power-Down Current mode.  
3) Both ranks are in the same IDD current mode.  
4)  
IDD5D and IDD6 values are for 0 °C TCase 85 °C.  
Rev. 1.41, 2006-11  
36  
03062006-0GN5-WTPW  
Internet Data Sheet  
HYS[64/72]T[16/32/64]0xxHU–[2.5/../5]–A  
Unbuffered DDR2 SDRAM Modules  
TABLE 25  
DD Specification for HYS[64/72]T[32/64]xxxHU-[3/3S]–A  
I
Product Type  
Unit  
Note1)  
Organization  
256 MB 512 MB 256 MB 512 MB 256 MB 512 MB 256 MB 512 MB  
1 Rank 2 Ranks 1 Rank 2 Ranks 1 Rank 2 Ranks 1 Rank 2 Ranks  
x64  
–3  
x64  
–3  
x72  
–3  
x72  
–3  
x64  
x64  
x72  
x72  
–3S  
Max.  
–3S  
Max.  
–3S  
Max.  
–3S  
Max.  
Symbol  
Max.  
Max.  
Max.  
Max.  
2)  
IDD0  
520  
600  
360  
40  
560  
640  
720  
80  
590  
680  
410  
50  
630  
720  
810  
90  
500  
570  
360  
40  
540  
610  
720  
80  
560  
640  
410  
50  
600  
680  
810  
90  
mA  
mA  
mA  
mA  
mA  
mA  
mA  
mA  
mA  
mA  
mA  
mA  
mA  
mA  
2)  
IDD1  
3)  
IDD2N  
3)  
IDD2P  
3)  
IDD2Q  
240  
150  
40  
480  
300  
80  
270  
170  
50  
540  
340  
90  
240  
150  
40  
480  
300  
80  
270  
170  
50  
540  
340  
90  
3)  
IDD3P( MRS = 0)  
IDD3P( MRS = 1)  
IDD3N  
3)  
3)  
360  
880  
920  
760  
50  
720  
920  
960  
800  
100  
64  
410  
990  
1040  
860  
50  
810  
1040  
1080  
900  
110  
72  
360  
880  
920  
760  
50  
720  
920  
960  
800  
100  
64  
410  
990  
1040  
860  
50  
810  
1040  
1080  
900  
110  
72  
2)  
IDD4R  
2)  
IDD4W  
IDD5B  
2)  
3)4)  
3)4)  
2)  
IDD5D  
IDD6  
32  
36  
32  
36  
IDD7  
1160  
1200  
1310  
1350  
1100  
1140  
1240  
1290  
1) Calculated values from component data. ODT disabled. IDD1 , IDD4R and IDD7 are defined with the outputs disabled.  
2) The other rank is in IDD2P Precharge Power-Down Current mode  
3) Both ranks are in the same IDD current mode  
4)  
IDD5D and IDD6 values are for 0 °C TCase 85 °C.  
Rev. 1.41, 2006-11  
37  
03062006-0GN5-WTPW  
Internet Data Sheet  
HYS[64/72]T[16/32/64]0xxHU–[2.5/../5]–A  
Unbuffered DDR2 SDRAM Modules  
TABLE 26  
I
DD Specification for HYS[64/72]T[16/32/64]xxxHU-[3.7/5]–A  
Product Type  
Unit  
Note1)  
Organization  
128 MB 256 MB  
256 MB 512 MB  
128 MB  
1 Rank  
x64  
256 MB  
1 Rank  
x64  
256 MB  
1 Rank  
x72  
1 Rank  
x64  
1 Rank  
x64  
1 Rank  
x72  
2 Ranks  
x64  
–3.7  
–3.7  
–3.7  
–3.7  
–5  
–5  
–5  
Symbol  
Max.  
Max.  
Max.  
Max.  
Max.  
Max.  
Max.  
2)  
IDD0  
220  
240  
140  
20  
470  
510  
560  
65  
500  
540  
320  
40  
530  
580  
630  
70  
200  
220  
110  
20  
400  
440  
220  
30  
450  
500  
250  
40  
mA  
mA  
mA  
mA  
mA  
mA  
mA  
mA  
mA  
mA  
mA  
mA  
mA  
mA  
2)  
IDD1  
3)  
IDD2N  
3)  
IDD2P  
3)  
IDD2Q  
100  
60  
400  
260  
65  
230  
140  
40  
450  
290  
70  
80  
160  
100  
30  
180  
120  
40  
3)  
IDD3P( MRS = 0)  
IDD3P( MRS = 1)  
IDD3N  
50  
3)  
20  
20  
3)  
140  
320  
400  
340  
20  
560  
590  
710  
710  
100  
64  
320  
630  
770  
770  
50  
630  
670  
800  
800  
110  
72  
120  
280  
360  
320  
20  
240  
480  
560  
640  
50  
270  
540  
630  
720  
50  
2)  
IDD4R  
2)  
IDD4W  
IDD5B  
2)  
3)4)  
3)4)  
2)  
IDD5D  
IDD6  
16  
36  
16  
32  
36  
IDD7  
600  
1110  
1220  
1250  
560  
1000  
1130  
1) Calculated values from component data. ODT disabled. IDD1 , IDD4R and IDD7 are defined with the outputs disabled.  
2) The other rank is in IDD2P Precharge Power-Down Current mode.  
3) Both ranks are in the same IDD current mode.  
4)  
IDD5D and IDD6 values are for 0 °C TCase 85 °C.  
Rev. 1.41, 2006-11  
38  
03062006-0GN5-WTPW  
Internet Data Sheet  
HYS[64/72]T[16/32/64]0xxHU–[2.5/../5]–A  
Unbuffered DDR2 SDRAM Modules  
4
SPD Codes  
This chapter lists all hexadecimal byte values stored in the EEPROM of the products described in this data sheet. SPD stands  
for serial presence detect. All values with XX in the table are module specific bytes which are defined during production.  
List of SPD Code Tables  
Table 27 “SPD Codes for PC2–6400[U/E]–666” on Page 39  
Table 28 “SPD Codes for PC2–6400[U/E]–555” on Page 44  
Table 29 “SPD Codes for PC2–5300[U/E]–444” on Page 48  
Table 30 “SPD Codes for PC2–5300[U/E]–555” on Page 52  
Table 31 “SPD Codes for PC2–4200[U/E]–444” on Page 56  
Table 32 “SPD Codes for PC2–3200[U/E]–333” on Page 60  
TABLE 27  
SPD Codes for PC2–6400[U/E]–666  
Product Type  
Organization  
256MB  
512MB  
256MB  
512MB  
×64  
×64  
×72  
×72  
1 Rank (×8) 2 Ranks (×8) 1 Rank (×8) 2 Ranks (×8)  
Label Code  
PC2– PC2– PC2– PC2–  
6400U–666 6400U–666 6400E–666 6400E–666  
JEDEC SPD Revision  
Rev. 1.2  
HEX  
Rev. 1.2  
HEX  
Rev. 1.2  
HEX  
Rev. 1.2  
HEX  
Byte#  
Description  
0
1
2
3
4
5
6
7
8
9
10  
Programmed SPD Bytes in EEPROM  
Total number of Bytes in EEPROM  
Memory Type (DDR2)  
80  
08  
08  
0D  
0A  
60  
40  
00  
05  
25  
40  
80  
08  
08  
0D  
0A  
61  
40  
00  
05  
25  
40  
80  
08  
08  
0D  
0A  
60  
48  
00  
05  
25  
40  
80  
08  
08  
0D  
0A  
61  
48  
00  
05  
25  
40  
Number of Row Addresses  
Number of Column Addresses  
DIMM Rank and Stacking Information  
Data Width  
Not used  
Interface Voltage Level  
t
t
CK @ CLMAX (Byte 18) [ns]  
AC SDRAM @ CLMAX (Byte 18) [ns]  
Rev. 1.41, 2006-11  
39  
03062006-0GN5-WTPW  
Internet Data Sheet  
HYS[64/72]T[16/32/64]0xxHU–[2.5/../5]–A  
Unbuffered DDR2 SDRAM Modules  
Product Type  
Organization  
256MB  
512MB  
256MB  
512MB  
×64  
×64  
×72  
×72  
1 Rank (×8) 2 Ranks (×8) 1 Rank (×8) 2 Ranks (×8)  
Label Code  
PC2– PC2– PC2– PC2–  
6400U–666 6400U–666 6400E–666 6400E–666  
JEDEC SPD Revision  
Rev. 1.2  
HEX  
Rev. 1.2  
HEX  
Rev. 1.2  
HEX  
Rev. 1.2  
HEX  
Byte#  
Description  
11  
12  
13  
14  
15  
16  
17  
18  
19  
20  
21  
22  
23  
24  
25  
26  
27  
28  
29  
30  
31  
32  
33  
34  
35  
36  
Error Correction Support (non-ECC, ECC)  
Refresh Rate and Type  
00  
82  
08  
00  
00  
0C  
04  
70  
01  
02  
00  
03  
30  
45  
3D  
50  
3C  
1E  
3C  
2D  
40  
17  
25  
05  
12  
3C  
00  
82  
08  
00  
00  
0C  
04  
70  
01  
02  
00  
03  
30  
45  
3D  
50  
3C  
1E  
3C  
2D  
40  
17  
25  
05  
12  
3C  
02  
82  
08  
08  
00  
0C  
04  
70  
01  
02  
00  
03  
30  
45  
3D  
50  
3C  
1E  
3C  
2D  
40  
17  
25  
05  
12  
3C  
02  
82  
08  
08  
00  
0C  
04  
70  
01  
02  
00  
03  
30  
45  
3D  
50  
3C  
1E  
3C  
2D  
40  
17  
25  
05  
12  
3C  
Primary SDRAM Width  
Error Checking SDRAM Width  
Not used  
Burst Length Supported  
Number of Banks on SDRAM Device  
Supported CAS Latencies  
DIMM Mechanical Characteristics  
DIMM Type Information  
DIMM Attributes  
Component Attributes  
t
t
t
t
t
t
t
t
CK @ CLMAX -1 (Byte 18) [ns]  
AC SDRAM @ CLMAX -1 [ns]  
CK @ CLMAX -2 (Byte 18) [ns]  
AC SDRAM @ CLMAX -2 [ns]  
RP.MIN [ns]  
RRD.MIN [ns]  
RCD.MIN [ns]  
RAS.MIN [ns]  
Module Density per Rank  
t
t
t
t
t
AS.MIN and tCS.MIN [ns]  
AH.MIN and tCH.MIN [ns]  
DS.MIN [ns]  
DH.MIN [ns]  
WR.MIN [ns]  
Rev. 1.41, 2006-11  
40  
03062006-0GN5-WTPW  
Internet Data Sheet  
HYS[64/72]T[16/32/64]0xxHU–[2.5/../5]–A  
Unbuffered DDR2 SDRAM Modules  
Product Type  
Organization  
256MB  
512MB  
256MB  
512MB  
×64  
×64  
×72  
×72  
1 Rank (×8) 2 Ranks (×8) 1 Rank (×8) 2 Ranks (×8)  
Label Code  
PC2– PC2– PC2– PC2–  
6400U–666 6400U–666 6400E–666 6400E–666  
JEDEC SPD Revision  
Rev. 1.2  
HEX  
Rev. 1.2  
HEX  
Rev. 1.2  
HEX  
Rev. 1.2  
HEX  
Byte#  
Description  
37  
38  
39  
40  
41  
42  
43  
44  
45  
46  
47  
48  
49  
50  
51  
52  
53  
54  
55  
56  
57  
58  
59  
60  
61  
62  
t
t
WTR.MIN [ns]  
RTP.MIN [ns]  
1E  
1E  
00  
00  
3C  
4B  
80  
14  
1E  
00  
53  
82  
5B  
3E  
29  
29  
36  
19  
4E  
17  
26  
00  
00  
00  
00  
12  
1E  
1E  
00  
00  
3C  
4B  
80  
14  
1E  
00  
53  
82  
5B  
3E  
29  
29  
36  
19  
4E  
17  
26  
00  
00  
00  
00  
12  
1E  
1E  
00  
00  
3C  
4B  
80  
14  
1E  
00  
53  
82  
5B  
3E  
29  
29  
36  
19  
4E  
17  
26  
00  
00  
00  
00  
12  
1E  
1E  
00  
00  
3C  
4B  
80  
14  
1E  
00  
53  
82  
5B  
3E  
29  
29  
36  
19  
4E  
17  
26  
00  
00  
00  
00  
12  
Analysis Characteristics  
t
t
t
t
t
t
RC and tRFC Extension  
RC.MIN [ns]  
RFC.MIN [ns]  
CK.MAX [ns]  
DQSQ.MAX [ns]  
QHS.MAX [ns]  
PLL Relock Time  
CASE.MAX Delta / T4R4W Delta  
T
Psi(T-A) DRAM  
T0 (DT0)  
T2N (DT2N, UDIMM) or T2Q (DT2Q, RDIMM)  
T2P (DT2P)  
T3N (DT3N)  
T3P.fast (DT3P fast)  
T3P.slow (DT3P slow)  
T4R (DT4R) / T4R4W Sign (DT4R4W)  
T5B (DT5B)  
T7 (DT7)  
Psi(ca) PLL  
Psi(ca) REG  
TPLL (DTPLL)  
TREG (DTREG) / Toggle Rate  
SPD Revision  
Rev. 1.41, 2006-11  
41  
03062006-0GN5-WTPW  
Internet Data Sheet  
HYS[64/72]T[16/32/64]0xxHU–[2.5/../5]–A  
Unbuffered DDR2 SDRAM Modules  
Product Type  
Organization  
256MB  
512MB  
256MB  
512MB  
×64  
×64  
×72  
×72  
1 Rank (×8) 2 Ranks (×8) 1 Rank (×8) 2 Ranks (×8)  
Label Code  
PC2– PC2– PC2– PC2–  
6400U–666 6400U–666 6400E–666 6400E–666  
JEDEC SPD Revision  
Rev. 1.2  
HEX  
Rev. 1.2  
HEX  
Rev. 1.2  
HEX  
Rev. 1.2  
HEX  
Byte#  
Description  
63  
64  
65  
66  
67  
68  
69  
70  
71  
72  
73  
74  
75  
76  
77  
78  
79  
80  
81  
82  
83  
84  
85  
86  
87  
88  
Checksum of Bytes 0-62  
Manufacturer’s JEDEC ID Code (1)  
Manufacturer’s JEDEC ID Code (2)  
Manufacturer’s JEDEC ID Code (3)  
Manufacturer’s JEDEC ID Code (4)  
Manufacturer’s JEDEC ID Code (5)  
Manufacturer’s JEDEC ID Code (6)  
Manufacturer’s JEDEC ID Code (7)  
Manufacturer’s JEDEC ID Code (8)  
Module Manufacturer Location  
Product Type, Char 1  
76  
7F  
7F  
7F  
7F  
7F  
51  
00  
00  
xx  
77  
7F  
7F  
7F  
7F  
7F  
51  
00  
00  
xx  
88  
7F  
7F  
7F  
7F  
7F  
51  
00  
00  
xx  
89  
7F  
7F  
7F  
7F  
7F  
51  
00  
00  
xx  
36  
34  
54  
33  
32  
30  
30  
31  
48  
55  
32  
2E  
35  
41  
20  
20  
36  
34  
54  
36  
34  
30  
32  
30  
48  
55  
32  
2E  
35  
41  
20  
20  
37  
32  
54  
33  
32  
30  
30  
30  
48  
55  
32  
2E  
35  
41  
20  
20  
37  
32  
54  
36  
34  
30  
32  
30  
48  
55  
32  
2E  
35  
41  
20  
20  
Product Type, Char 2  
Product Type, Char 3  
Product Type, Char 4  
Product Type, Char 5  
Product Type, Char 6  
Product Type, Char 7  
Product Type, Char 8  
Product Type, Char 9  
Product Type, Char 10  
Product Type, Char 11  
Product Type, Char 12  
Product Type, Char 13  
Product Type, Char 14  
Product Type, Char 15  
Product Type, Char 16  
Rev. 1.41, 2006-11  
42  
03062006-0GN5-WTPW  
Internet Data Sheet  
HYS[64/72]T[16/32/64]0xxHU–[2.5/../5]–A  
Unbuffered DDR2 SDRAM Modules  
Product Type  
Organization  
256MB  
512MB  
256MB  
512MB  
×64  
×64  
×72  
×72  
1 Rank (×8) 2 Ranks (×8) 1 Rank (×8) 2 Ranks (×8)  
Label Code  
PC2– PC2– PC2– PC2–  
6400U–666 6400U–666 6400E–666 6400E–666  
JEDEC SPD Revision  
Rev. 1.2  
HEX  
Rev. 1.2  
HEX  
Rev. 1.2  
HEX  
Rev. 1.2  
HEX  
Byte#  
Description  
89  
90  
91  
92  
93  
94  
Product Type, Char 17  
20  
20  
3x  
xx  
xx  
xx  
xx  
00  
FF  
20  
20  
3x  
xx  
xx  
xx  
xx  
00  
FF  
20  
20  
3x  
xx  
xx  
xx  
xx  
00  
FF  
20  
20  
3x  
xx  
xx  
xx  
xx  
00  
FF  
Product Type, Char 18  
Module Revision Code  
Test Program Revision Code  
Module Manufacturing Date Year  
Module Manufacturing Date Week  
95 - 98 Module Serial Number  
99 - 127 Not used  
128 -  
255  
Blank for customer use  
Rev. 1.41, 2006-11  
43  
03062006-0GN5-WTPW  
Internet Data Sheet  
HYS[64/72]T[16/32/64]0xxHU–[2.5/../5]–A  
Unbuffered DDR2 SDRAM Modules  
TABLE 28  
SPD Codes for PC2–6400[U/E]–555  
Product Type  
Organization  
256MB  
512MB  
256MB  
512MB  
×64  
×64  
×72  
×72  
1 Rank (×8) 2 Ranks (×8) 1 Rank (×8) 2 Ranks (×8)  
Label Code  
PC2– PC2– PC2– PC2–  
6400U–555 6400U–555 6400E–555 6400E–555  
JEDEC SPD Revision  
Rev. 1.2  
HEX  
Rev. 1.2  
HEX  
Rev. 1.2  
HEX  
Rev. 1.2  
HEX  
Byte#  
Description  
0
Programmed SPD Bytes in EEPROM  
Total number of Bytes in EEPROM  
Memory Type (DDR2)  
80  
08  
08  
0D  
0A  
60  
40  
00  
05  
25  
40  
00  
82  
08  
00  
00  
0C  
04  
70  
01  
02  
00  
03  
80  
08  
08  
0D  
0A  
61  
40  
00  
05  
25  
40  
00  
82  
08  
00  
00  
0C  
04  
70  
01  
02  
00  
03  
80  
08  
08  
0D  
0A  
60  
48  
00  
05  
25  
40  
02  
82  
08  
08  
00  
0C  
04  
70  
01  
02  
00  
03  
80  
08  
08  
0D  
0A  
61  
48  
00  
05  
25  
40  
02  
82  
08  
08  
00  
0C  
04  
70  
01  
02  
00  
03  
1
2
3
Number of Row Addresses  
Number of Column Addresses  
DIMM Rank and Stacking Information  
Data Width  
4
5
6
7
Not used  
8
Interface Voltage Level  
9
t
t
CK @ CLMAX (Byte 18) [ns]  
10  
11  
12  
13  
14  
15  
16  
17  
18  
19  
20  
21  
22  
AC SDRAM @ CLMAX (Byte 18) [ns]  
Error Correction Support (non-ECC, ECC)  
Refresh Rate and Type  
Primary SDRAM Width  
Error Checking SDRAM Width  
Not used  
Burst Length Supported  
Number of Banks on SDRAM Device  
Supported CAS Latencies  
DIMM Mechanical Characteristics  
DIMM Type Information  
DIMM Attributes  
Component Attributes  
Rev. 1.41, 2006-11  
44  
03062006-0GN5-WTPW  
Internet Data Sheet  
HYS[64/72]T[16/32/64]0xxHU–[2.5/../5]–A  
Unbuffered DDR2 SDRAM Modules  
Product Type  
Organization  
256MB  
512MB  
256MB  
512MB  
×64  
×64  
×72  
×72  
1 Rank (×8) 2 Ranks (×8) 1 Rank (×8) 2 Ranks (×8)  
Label Code  
PC2– PC2– PC2– PC2–  
6400U–555 6400U–555 6400E–555 6400E–555  
JEDEC SPD Revision  
Rev. 1.2  
HEX  
Rev. 1.2  
HEX  
Rev. 1.2  
HEX  
Rev. 1.2  
HEX  
Byte#  
Description  
23  
24  
25  
26  
27  
28  
29  
30  
31  
32  
33  
34  
35  
36  
37  
38  
39  
40  
41  
42  
43  
44  
45  
46  
47  
48  
t
t
t
t
t
t
t
t
CK @ CLMAX -1 (Byte 18) [ns]  
AC SDRAM @ CLMAX -1 [ns]  
CK @ CLMAX -2 (Byte 18) [ns]  
AC SDRAM @ CLMAX -2 [ns]  
RP.MIN [ns]  
25  
40  
3D  
50  
32  
1E  
32  
2D  
40  
17  
25  
05  
12  
3C  
1E  
1E  
00  
30  
39  
4B  
80  
14  
1E  
00  
53  
82  
25  
40  
3D  
50  
32  
1E  
32  
2D  
40  
17  
25  
05  
12  
3C  
1E  
1E  
00  
30  
39  
4B  
80  
14  
1E  
00  
53  
82  
25  
40  
3D  
50  
32  
1E  
32  
2D  
40  
17  
25  
05  
12  
3C  
1E  
1E  
00  
30  
39  
4B  
80  
14  
1E  
00  
53  
82  
25  
40  
3D  
50  
32  
1E  
32  
2D  
40  
17  
25  
05  
12  
3C  
1E  
1E  
00  
30  
39  
4B  
80  
14  
1E  
00  
53  
82  
RRD.MIN [ns]  
RCD.MIN [ns]  
RAS.MIN [ns]  
Module Density per Rank  
t
t
t
t
t
t
t
AS.MIN and tCS.MIN [ns]  
AH.MIN and tCH.MIN [ns]  
DS.MIN [ns]  
DH.MIN [ns]  
WR.MIN [ns]  
WTR.MIN [ns]  
RTP.MIN [ns]  
Analysis Characteristics  
t
t
t
t
t
t
RC and tRFC Extension  
RC.MIN [ns]  
RFC.MIN [ns]  
CK.MAX [ns]  
DQSQ.MAX [ns]  
QHS.MAX [ns]  
PLL Relock Time  
CASE.MAX Delta / T4R4W Delta  
Psi(T-A) DRAM  
T
Rev. 1.41, 2006-11  
45  
03062006-0GN5-WTPW  
Internet Data Sheet  
HYS[64/72]T[16/32/64]0xxHU–[2.5/../5]–A  
Unbuffered DDR2 SDRAM Modules  
Product Type  
Organization  
256MB  
512MB  
256MB  
512MB  
×64  
×64  
×72  
×72  
1 Rank (×8) 2 Ranks (×8) 1 Rank (×8) 2 Ranks (×8)  
Label Code  
PC2– PC2– PC2– PC2–  
6400U–555 6400U–555 6400E–555 6400E–555  
JEDEC SPD Revision  
Rev. 1.2  
HEX  
Rev. 1.2  
HEX  
Rev. 1.2  
HEX  
Rev. 1.2  
HEX  
Byte#  
Description  
49  
50  
51  
52  
53  
54  
55  
56  
57  
58  
59  
60  
61  
62  
63  
64  
65  
66  
67  
68  
69  
70  
71  
72  
73  
74  
T0 (DT0)  
5B  
3E  
29  
29  
36  
19  
4E  
17  
26  
00  
00  
00  
00  
12  
7F  
7F  
7F  
7F  
7F  
7F  
51  
00  
00  
xx  
5B  
3E  
29  
29  
36  
19  
4E  
17  
26  
00  
00  
00  
00  
12  
80  
7F  
7F  
7F  
7F  
7F  
51  
00  
00  
xx  
5B  
3E  
29  
29  
36  
19  
4E  
17  
26  
00  
00  
00  
00  
12  
91  
7F  
7F  
7F  
7F  
7F  
51  
00  
00  
xx  
5B  
3E  
29  
29  
36  
19  
4E  
17  
26  
00  
00  
00  
00  
12  
92  
7F  
7F  
7F  
7F  
7F  
51  
00  
00  
xx  
T2N (DT2N, UDIMM) or T2Q (DT2Q, RDIMM)  
T2P (DT2P)  
T3N (DT3N)  
T3P.fast (DT3P fast)  
T3P.slow (DT3P slow)  
T4R (DT4R) / T4R4W Sign (DT4R4W)  
T5B (DT5B)  
T7 (DT7)  
Psi(ca) PLL  
Psi(ca) REG  
TPLL (DTPLL)  
TREG (DTREG) / Toggle Rate  
SPD Revision  
Checksum of Bytes 0-62  
Manufacturer’s JEDEC ID Code (1)  
Manufacturer’s JEDEC ID Code (2)  
Manufacturer’s JEDEC ID Code (3)  
Manufacturer’s JEDEC ID Code (4)  
Manufacturer’s JEDEC ID Code (5)  
Manufacturer’s JEDEC ID Code (6)  
Manufacturer’s JEDEC ID Code (7)  
Manufacturer’s JEDEC ID Code (8)  
Module Manufacturer Location  
Product Type, Char 1  
36  
34  
36  
34  
37  
32  
37  
32  
Product Type, Char 2  
Rev. 1.41, 2006-11  
46  
03062006-0GN5-WTPW  
Internet Data Sheet  
HYS[64/72]T[16/32/64]0xxHU–[2.5/../5]–A  
Unbuffered DDR2 SDRAM Modules  
Product Type  
Organization  
256MB  
512MB  
256MB  
512MB  
×64  
×64  
×72  
×72  
1 Rank (×8) 2 Ranks (×8) 1 Rank (×8) 2 Ranks (×8)  
Label Code  
PC2– PC2– PC2– PC2–  
6400U–555 6400U–555 6400E–555 6400E–555  
JEDEC SPD Revision  
Rev. 1.2  
HEX  
Rev. 1.2  
HEX  
Rev. 1.2  
HEX  
Rev. 1.2  
HEX  
Byte#  
Description  
75  
76  
77  
78  
79  
80  
81  
82  
83  
84  
85  
86  
87  
88  
89  
90  
91  
92  
93  
94  
Product Type, Char 3  
54  
33  
32  
30  
30  
31  
48  
55  
32  
35  
46  
41  
20  
20  
20  
20  
3x  
xx  
xx  
xx  
xx  
00  
FF  
54  
36  
34  
30  
32  
30  
48  
55  
32  
35  
46  
41  
20  
20  
20  
20  
3x  
xx  
xx  
xx  
xx  
00  
FF  
54  
33  
32  
30  
30  
30  
48  
55  
32  
35  
46  
41  
20  
20  
20  
20  
3x  
xx  
xx  
xx  
xx  
54  
36  
34  
30  
32  
30  
48  
55  
32  
35  
46  
41  
20  
20  
20  
20  
3x  
xx  
xx  
xx  
Product Type, Char 4  
Product Type, Char 5  
Product Type, Char 6  
Product Type, Char 7  
Product Type, Char 8  
Product Type, Char 9  
Product Type, Char 10  
Product Type, Char 11  
Product Type, Char 12  
Product Type, Char 13  
Product Type, Char 14  
Product Type, Char 15  
Product Type, Char 16  
Product Type, Char 17  
Product Type, Char 18  
Module Revision Code  
Test Program Revision Code  
Module Manufacturing Date Year  
Module Manufacturing Date Week  
95 - 98 Module Serial Number  
99 - 127 Not used  
xx  
00  
FF  
00  
FF  
128 -  
255  
Blank for customer use  
Rev. 1.41, 2006-11  
47  
03062006-0GN5-WTPW  
Internet Data Sheet  
HYS[64/72]T[16/32/64]0xxHU–[2.5/../5]–A  
Unbuffered DDR2 SDRAM Modules  
TABLE 29  
SPD Codes for PC2–5300[U/E]–444  
Product Type  
Organization  
256MB  
512MB  
256MB  
512MB  
×64  
×64  
×72  
×72  
1 Rank (×8) 2 Ranks (×8) 1 Rank (×8) 2 Ranks (×8)  
Label Code  
PC2– PC2– PC2– PC2–  
5300U–444 5300U–444 5300E–444 5300E–444  
JEDEC SPD Revision  
Rev. 1.2  
HEX  
Rev. 1.2  
HEX  
Rev. 1.2  
HEX  
Rev. 1.2  
HEX  
Byte#  
Description  
0
Programmed SPD Bytes in EEPROM  
Total number of Bytes in EEPROM  
Memory Type (DDR2)  
80  
08  
08  
0D  
0A  
60  
40  
00  
05  
30  
45  
00  
82  
08  
00  
00  
0C  
04  
38  
01  
02  
00  
03  
30  
80  
08  
08  
0D  
0A  
61  
40  
00  
05  
30  
45  
00  
82  
08  
00  
00  
0C  
04  
38  
01  
02  
00  
03  
30  
80  
08  
08  
0D  
0A  
60  
48  
00  
05  
30  
45  
02  
82  
08  
08  
00  
0C  
04  
38  
01  
02  
00  
03  
30  
80  
08  
08  
0D  
0A  
61  
48  
00  
05  
30  
45  
02  
82  
08  
08  
00  
0C  
04  
38  
01  
02  
00  
03  
30  
1
2
3
Number of Row Addresses  
Number of Column Addresses  
DIMM Rank and Stacking Information  
Data Width  
4
5
6
7
Not used  
8
Interface Voltage Level  
9
t
t
CK @ CLMAX (Byte 18) [ns]  
10  
11  
12  
13  
14  
15  
16  
17  
18  
19  
20  
21  
22  
23  
AC SDRAM @ CLMAX (Byte 18) [ns]  
Error Correction Support (non-ECC, ECC)  
Refresh Rate and Type  
Primary SDRAM Width  
Error Checking SDRAM Width  
Not used  
Burst Length Supported  
Number of Banks on SDRAM Device  
Supported CAS Latencies  
DIMM Mechanical Characteristics  
DIMM Type Information  
DIMM Attributes  
Component Attributes  
t
CK @ CLMAX -1 (Byte 18) [ns]  
Rev. 1.41, 2006-11  
48  
03062006-0GN5-WTPW  
Internet Data Sheet  
HYS[64/72]T[16/32/64]0xxHU–[2.5/../5]–A  
Unbuffered DDR2 SDRAM Modules  
Product Type  
Organization  
256MB  
512MB  
256MB  
512MB  
×64  
×64  
×72  
×72  
1 Rank (×8) 2 Ranks (×8) 1 Rank (×8) 2 Ranks (×8)  
Label Code  
PC2– PC2– PC2– PC2–  
5300U–444 5300U–444 5300E–444 5300E–444  
JEDEC SPD Revision  
Rev. 1.2  
HEX  
Rev. 1.2  
HEX  
Rev. 1.2  
HEX  
Rev. 1.2  
HEX  
Byte#  
Description  
24  
25  
26  
27  
28  
29  
30  
31  
32  
33  
34  
35  
36  
37  
38  
39  
40  
41  
42  
43  
44  
45  
46  
47  
48  
49  
t
t
t
t
t
t
t
AC SDRAM @ CLMAX -1 [ns]  
CK @ CLMAX -2 (Byte 18) [ns]  
AC SDRAM @ CLMAX -2 [ns]  
RP.MIN [ns]  
45  
50  
60  
30  
1E  
30  
2D  
40  
20  
27  
10  
17  
3C  
1E  
1E  
00  
00  
39  
4B  
80  
18  
22  
00  
52  
82  
47  
45  
50  
60  
30  
1E  
30  
2D  
40  
20  
27  
10  
17  
3C  
1E  
1E  
00  
00  
39  
4B  
80  
18  
22  
00  
52  
82  
47  
45  
50  
60  
30  
1E  
30  
2D  
40  
20  
27  
10  
17  
3C  
1E  
1E  
00  
00  
39  
4B  
80  
18  
22  
00  
52  
82  
47  
45  
50  
60  
30  
1E  
30  
2D  
40  
20  
27  
10  
17  
3C  
1E  
1E  
00  
00  
39  
4B  
80  
18  
22  
00  
52  
82  
47  
RRD.MIN [ns]  
RCD.MIN [ns]  
RAS.MIN [ns]  
Module Density per Rank  
t
t
t
t
t
t
t
AS.MIN and tCS.MIN [ns]  
AH.MIN and tCH.MIN [ns]  
DS.MIN [ns]  
DH.MIN [ns]  
WR.MIN [ns]  
WTR.MIN [ns]  
RTP.MIN [ns]  
Analysis Characteristics  
t
t
t
t
t
t
RC and tRFC Extension  
RC.MIN [ns]  
RFC.MIN [ns]  
CK.MAX [ns]  
DQSQ.MAX [ns]  
QHS.MAX [ns]  
PLL Relock Time  
CASE.MAX Delta / T4R4W Delta  
T
Psi(T-A) DRAM  
T0 (DT0)  
Rev. 1.41, 2006-11  
49  
03062006-0GN5-WTPW  
Internet Data Sheet  
HYS[64/72]T[16/32/64]0xxHU–[2.5/../5]–A  
Unbuffered DDR2 SDRAM Modules  
Product Type  
Organization  
256MB  
512MB  
256MB  
512MB  
×64  
×64  
×72  
×72  
1 Rank (×8) 2 Ranks (×8) 1 Rank (×8) 2 Ranks (×8)  
Label Code  
PC2– PC2– PC2– PC2–  
5300U–444 5300U–444 5300E–444 5300E–444  
JEDEC SPD Revision  
Rev. 1.2  
HEX  
Rev. 1.2  
HEX  
Rev. 1.2  
HEX  
Rev. 1.2  
HEX  
Byte#  
Description  
50  
51  
52  
53  
54  
55  
56  
57  
58  
59  
60  
61  
62  
63  
64  
65  
66  
67  
68  
69  
70  
71  
72  
73  
74  
75  
T2N (DT2N, UDIMM) or T2Q (DT2Q, RDIMM)  
T2P (DT2P)  
38  
29  
25  
2F  
19  
44  
17  
24  
00  
00  
00  
00  
12  
47  
7F  
7F  
7F  
7F  
7F  
51  
00  
00  
xx  
38  
29  
25  
2F  
19  
44  
17  
24  
00  
00  
00  
00  
12  
48  
7F  
7F  
7F  
7F  
7F  
51  
00  
00  
xx  
38  
29  
25  
2F  
19  
44  
17  
24  
00  
00  
00  
00  
12  
59  
7F  
7F  
7F  
7F  
7F  
51  
00  
00  
xx  
38  
29  
25  
2F  
19  
44  
17  
24  
00  
00  
00  
00  
12  
5A  
7F  
7F  
7F  
7F  
7F  
51  
00  
00  
xx  
T3N (DT3N)  
T3P.fast (DT3P fast)  
T3P.slow (DT3P slow)  
T4R (DT4R) / T4R4W Sign (DT4R4W)  
T5B (DT5B)  
T7 (DT7)  
Psi(ca) PLL  
Psi(ca) REG  
TPLL (DTPLL)  
TREG (DTREG) / Toggle Rate  
SPD Revision  
Checksum of Bytes 0-62  
Manufacturer’s JEDEC ID Code (1)  
Manufacturer’s JEDEC ID Code (2)  
Manufacturer’s JEDEC ID Code (3)  
Manufacturer’s JEDEC ID Code (4)  
Manufacturer’s JEDEC ID Code (5)  
Manufacturer’s JEDEC ID Code (6)  
Manufacturer’s JEDEC ID Code (7)  
Manufacturer’s JEDEC ID Code (8)  
Module Manufacturer Location  
Product Type, Char 1  
36  
34  
54  
36  
34  
54  
37  
32  
54  
37  
32  
54  
Product Type, Char 2  
Product Type, Char 3  
Rev. 1.41, 2006-11  
50  
03062006-0GN5-WTPW  
Internet Data Sheet  
HYS[64/72]T[16/32/64]0xxHU–[2.5/../5]–A  
Unbuffered DDR2 SDRAM Modules  
Product Type  
Organization  
256MB  
512MB  
256MB  
512MB  
×64  
×64  
×72  
×72  
1 Rank (×8) 2 Ranks (×8) 1 Rank (×8) 2 Ranks (×8)  
Label Code  
PC2– PC2– PC2– PC2–  
5300U–444 5300U–444 5300E–444 5300E–444  
JEDEC SPD Revision  
Rev. 1.2  
HEX  
Rev. 1.2  
HEX  
Rev. 1.2  
HEX  
Rev. 1.2  
HEX  
Byte#  
Description  
76  
77  
78  
79  
80  
81  
82  
83  
84  
85  
86  
87  
88  
89  
90  
91  
92  
93  
94  
Product Type, Char 4  
33  
32  
30  
30  
31  
48  
55  
33  
41  
20  
20  
20  
20  
20  
20  
6x  
xx  
xx  
xx  
xx  
00  
FF  
36  
34  
30  
32  
30  
48  
55  
33  
41  
20  
20  
20  
20  
20  
20  
5x  
xx  
xx  
xx  
xx  
00  
FF  
33  
32  
30  
30  
30  
48  
55  
33  
41  
20  
20  
20  
20  
20  
20  
6x  
xx  
xx  
xx  
xx  
00  
FF  
36  
34  
30  
32  
30  
48  
55  
33  
41  
20  
20  
20  
20  
20  
20  
5x  
xx  
xx  
xx  
xx  
00  
FF  
Product Type, Char 5  
Product Type, Char 6  
Product Type, Char 7  
Product Type, Char 8  
Product Type, Char 9  
Product Type, Char 10  
Product Type, Char 11  
Product Type, Char 12  
Product Type, Char 13  
Product Type, Char 14  
Product Type, Char 15  
Product Type, Char 16  
Product Type, Char 17  
Product Type, Char 18  
Module Revision Code  
Test Program Revision Code  
Module Manufacturing Date Year  
Module Manufacturing Date Week  
95 - 98 Module Serial Number  
99 - 127 Not used  
128 -  
255  
Blank for customer use  
Rev. 1.41, 2006-11  
51  
03062006-0GN5-WTPW  
Internet Data Sheet  
HYS[64/72]T[16/32/64]0xxHU–[2.5/../5]–A  
Unbuffered DDR2 SDRAM Modules  
TABLE 30  
SPD Codes for PC2–5300[U/E]–555  
Product Type  
Organization  
256MB  
512MB  
256MB  
512MB  
×64  
×64  
×72  
×72  
1 Rank (×8) 2 Ranks (×8) 1 Rank (×8) 2 Ranks (×8)  
Label Code  
PC2– PC2– PC2– PC2–  
5300U–555 5300U–555 5300E–555 5300E–555  
JEDEC SPD Revision  
Rev. 1.2  
HEX  
Rev. 1.2  
HEX  
Rev. 1.2  
HEX  
Rev. 1.2  
HEX  
Byte#  
Description  
0
Programmed SPD Bytes in EEPROM  
Total number of Bytes in EEPROM  
Memory Type (DDR2)  
80  
08  
08  
0D  
0A  
60  
40  
00  
05  
30  
45  
00  
82  
08  
00  
00  
0C  
04  
38  
01  
02  
00  
03  
80  
08  
08  
0D  
0A  
61  
40  
00  
05  
30  
45  
00  
82  
08  
00  
00  
0C  
04  
38  
01  
02  
00  
03  
80  
08  
08  
0D  
0A  
60  
48  
00  
05  
30  
45  
02  
82  
08  
08  
00  
0C  
04  
38  
01  
02  
00  
03  
80  
08  
08  
0D  
0A  
61  
48  
00  
05  
30  
45  
02  
82  
08  
08  
00  
0C  
04  
38  
01  
02  
00  
03  
1
2
3
Number of Row Addresses  
Number of Column Addresses  
DIMM Rank and Stacking Information  
Data Width  
4
5
6
7
Not used  
8
Interface Voltage Level  
9
t
t
CK @ CLMAX (Byte 18) [ns]  
10  
11  
12  
13  
14  
15  
16  
17  
18  
19  
20  
21  
22  
AC SDRAM @ CLMAX (Byte 18) [ns]  
Error Correction Support (non-ECC, ECC)  
Refresh Rate and Type  
Primary SDRAM Width  
Error Checking SDRAM Width  
Not used  
Burst Length Supported  
Number of Banks on SDRAM Device  
Supported CAS Latencies  
DIMM Mechanical Characteristics  
DIMM Type Information  
DIMM Attributes  
Component Attributes  
Rev. 1.41, 2006-11  
52  
03062006-0GN5-WTPW  
Internet Data Sheet  
HYS[64/72]T[16/32/64]0xxHU–[2.5/../5]–A  
Unbuffered DDR2 SDRAM Modules  
Product Type  
Organization  
256MB  
512MB  
256MB  
512MB  
×64  
×64  
×72  
×72  
1 Rank (×8) 2 Ranks (×8) 1 Rank (×8) 2 Ranks (×8)  
Label Code  
PC2– PC2– PC2– PC2–  
5300U–555 5300U–555 5300E–555 5300E–555  
JEDEC SPD Revision  
Rev. 1.2  
HEX  
Rev. 1.2  
HEX  
Rev. 1.2  
HEX  
Rev. 1.2  
HEX  
Byte#  
Description  
23  
24  
25  
26  
27  
28  
29  
30  
31  
32  
33  
34  
35  
36  
37  
38  
39  
40  
41  
42  
43  
44  
45  
46  
47  
48  
t
t
t
t
t
t
t
t
CK @ CLMAX -1 (Byte 18) [ns]  
AC SDRAM @ CLMAX -1 [ns]  
CK @ CLMAX -2 (Byte 18) [ns]  
AC SDRAM @ CLMAX -2 [ns]  
RP.MIN [ns]  
3D  
50  
50  
60  
3C  
1E  
3C  
2D  
40  
20  
27  
10  
17  
3C  
1E  
1E  
00  
00  
3C  
4B  
80  
18  
22  
00  
52  
82  
3D  
50  
50  
60  
3C  
1E  
3C  
2D  
40  
20  
27  
10  
17  
3C  
1E  
1E  
00  
00  
3C  
4B  
80  
18  
22  
00  
52  
82  
3D  
50  
50  
60  
3C  
1E  
3C  
2D  
40  
20  
27  
10  
17  
3C  
1E  
1E  
00  
00  
3C  
4B  
80  
18  
22  
00  
52  
82  
3D  
50  
50  
60  
3C  
1E  
3C  
2D  
40  
20  
27  
10  
17  
3C  
1E  
1E  
00  
00  
3C  
4B  
80  
18  
22  
00  
52  
82  
RRD.MIN [ns]  
RCD.MIN [ns]  
RAS.MIN [ns]  
Module Density per Rank  
t
t
t
t
t
t
t
AS.MIN and tCS.MIN [ns]  
AH.MIN and tCH.MIN [ns]  
DS.MIN [ns]  
DH.MIN [ns]  
WR.MIN [ns]  
WTR.MIN [ns]  
RTP.MIN [ns]  
Analysis Characteristics  
t
t
t
t
t
t
RC and tRFC Extension  
RC.MIN [ns]  
RFC.MIN [ns]  
CK.MAX [ns]  
DQSQ.MAX [ns]  
QHS.MAX [ns]  
PLL Relock Time  
CASE.MAX Delta / T4R4W Delta  
Psi(T-A) DRAM  
T
Rev. 1.41, 2006-11  
53  
03062006-0GN5-WTPW  
Internet Data Sheet  
HYS[64/72]T[16/32/64]0xxHU–[2.5/../5]–A  
Unbuffered DDR2 SDRAM Modules  
Product Type  
Organization  
256MB  
512MB  
256MB  
512MB  
×64  
×64  
×72  
×72  
1 Rank (×8) 2 Ranks (×8) 1 Rank (×8) 2 Ranks (×8)  
Label Code  
PC2– PC2– PC2– PC2–  
5300U–555 5300U–555 5300E–555 5300E–555  
JEDEC SPD Revision  
Rev. 1.2  
HEX  
Rev. 1.2  
HEX  
Rev. 1.2  
HEX  
Rev. 1.2  
HEX  
Byte#  
Description  
49  
50  
51  
52  
53  
54  
55  
56  
57  
58  
59  
60  
61  
62  
63  
64  
65  
66  
67  
68  
69  
70  
71  
72  
73  
74  
T0 (DT0)  
43  
38  
29  
25  
2F  
19  
44  
17  
22  
00  
00  
00  
00  
12  
74  
7F  
7F  
7F  
7F  
7F  
51  
00  
00  
xx  
43  
38  
29  
25  
2F  
19  
44  
17  
22  
00  
00  
00  
00  
12  
75  
7F  
7F  
7F  
7F  
7F  
51  
00  
00  
xx  
43  
38  
29  
25  
2F  
19  
44  
17  
22  
00  
00  
00  
00  
12  
86  
7F  
7F  
7F  
7F  
7F  
51  
00  
00  
xx  
43  
38  
29  
25  
2F  
19  
44  
17  
22  
00  
00  
00  
00  
12  
87  
7F  
7F  
7F  
7F  
7F  
51  
00  
00  
xx  
T2N (DT2N, UDIMM) or T2Q (DT2Q, RDIMM)  
T2P (DT2P)  
T3N (DT3N)  
T3P.fast (DT3P fast)  
T3P.slow (DT3P slow)  
T4R (DT4R) / T4R4W Sign (DT4R4W)  
T5B (DT5B)  
T7 (DT7)  
Psi(ca) PLL  
Psi(ca) REG  
TPLL (DTPLL)  
TREG (DTREG) / Toggle Rate  
SPD Revision  
Checksum of Bytes 0-62  
Manufacturer’s JEDEC ID Code (1)  
Manufacturer’s JEDEC ID Code (2)  
Manufacturer’s JEDEC ID Code (3)  
Manufacturer’s JEDEC ID Code (4)  
Manufacturer’s JEDEC ID Code (5)  
Manufacturer’s JEDEC ID Code (6)  
Manufacturer’s JEDEC ID Code (7)  
Manufacturer’s JEDEC ID Code (8)  
Module Manufacturer Location  
Product Type, Char 1  
36  
34  
36  
34  
37  
32  
37  
32  
Product Type, Char 2  
Rev. 1.41, 2006-11  
54  
03062006-0GN5-WTPW  
Internet Data Sheet  
HYS[64/72]T[16/32/64]0xxHU–[2.5/../5]–A  
Unbuffered DDR2 SDRAM Modules  
Product Type  
Organization  
256MB  
512MB  
256MB  
512MB  
×64  
×64  
×72  
×72  
1 Rank (×8) 2 Ranks (×8) 1 Rank (×8) 2 Ranks (×8)  
Label Code  
PC2– PC2– PC2– PC2–  
5300U–555 5300U–555 5300E–555 5300E–555  
JEDEC SPD Revision  
Rev. 1.2  
HEX  
Rev. 1.2  
HEX  
Rev. 1.2  
HEX  
Rev. 1.2  
HEX  
Byte#  
Description  
75  
76  
77  
78  
79  
80  
81  
82  
83  
84  
85  
86  
87  
88  
89  
90  
91  
92  
93  
94  
Product Type, Char 3  
54  
33  
32  
30  
30  
31  
48  
55  
33  
53  
41  
20  
20  
20  
20  
20  
3x  
xx  
xx  
xx  
xx  
00  
FF  
54  
36  
34  
30  
32  
30  
48  
55  
33  
53  
41  
20  
20  
20  
20  
20  
5x  
xx  
xx  
xx  
xx  
00  
FF  
54  
33  
32  
30  
30  
30  
48  
55  
33  
53  
41  
20  
20  
20  
20  
20  
3x  
xx  
xx  
xx  
xx  
54  
36  
34  
30  
32  
30  
48  
55  
33  
53  
41  
20  
20  
20  
20  
20  
5x  
xx  
xx  
xx  
Product Type, Char 4  
Product Type, Char 5  
Product Type, Char 6  
Product Type, Char 7  
Product Type, Char 8  
Product Type, Char 9  
Product Type, Char 10  
Product Type, Char 11  
Product Type, Char 12  
Product Type, Char 13  
Product Type, Char 14  
Product Type, Char 15  
Product Type, Char 16  
Product Type, Char 17  
Product Type, Char 18  
Module Revision Code  
Test Program Revision Code  
Module Manufacturing Date Year  
Module Manufacturing Date Week  
95 - 98 Module Serial Number  
99 - 127 Not used  
xx  
00  
FF  
00  
FF  
128 -  
255  
Blank for customer use  
Rev. 1.41, 2006-11  
55  
03062006-0GN5-WTPW  
Internet Data Sheet  
HYS[64/72]T[16/32/64]0xxHU–[2.5/../5]–A  
Unbuffered DDR2 SDRAM Modules  
TABLE 31  
SPD Codes for PC2–4200[U/E]–444  
Product Type  
Organization  
128MB  
256MB  
256MB  
512MB  
×64  
×64  
×72  
×64  
1 Rank (×16) 1 Rank (×8) 1 Rank (×8) 2 Ranks (×8)  
Label Code  
PC2– PC2– PC2– PC2–  
4200U–444 4200U–444 4200E–444 4200U–444  
JEDEC SPD Revision  
Rev. 1.1  
HEX  
Rev. 1.1  
HEX  
Rev. 1.1  
HEX  
Rev. 1.2  
HEX  
Byte#  
Description  
0
Programmed SPD Bytes in EEPROM  
Total number of Bytes in EEPROM  
Memory Type (DDR2)  
80  
08  
08  
0D  
09  
60  
40  
00  
05  
3D  
50  
00  
82  
10  
00  
00  
0C  
04  
38  
00  
02  
00  
01  
80  
08  
08  
0D  
0A  
60  
40  
00  
05  
3D  
50  
00  
82  
08  
00  
00  
0C  
04  
38  
00  
02  
00  
01  
80  
08  
08  
0D  
0A  
60  
48  
00  
05  
3D  
50  
02  
82  
08  
08  
00  
0C  
04  
38  
00  
02  
00  
01  
80  
08  
08  
0D  
0A  
61  
40  
00  
05  
3D  
50  
00  
82  
08  
00  
00  
0C  
04  
38  
01  
02  
00  
03  
1
2
3
Number of Row Addresses  
Number of Column Addresses  
DIMM Rank and Stacking Information  
Data Width  
4
5
6
7
Not used  
8
Interface Voltage Level  
9
t
t
CK @ CLMAX (Byte 18) [ns]  
10  
11  
12  
13  
14  
15  
16  
17  
18  
19  
20  
21  
22  
AC SDRAM @ CLMAX (Byte 18) [ns]  
Error Correction Support (non-ECC, ECC)  
Refresh Rate and Type  
Primary SDRAM Width  
Error Checking SDRAM Width  
Not used  
Burst Length Supported  
Number of Banks on SDRAM Device  
Supported CAS Latencies  
DIMM Mechanical Characteristics  
DIMM Type Information  
DIMM Attributes  
Component Attributes  
Rev. 1.41, 2006-11  
56  
03062006-0GN5-WTPW  
Internet Data Sheet  
HYS[64/72]T[16/32/64]0xxHU–[2.5/../5]–A  
Unbuffered DDR2 SDRAM Modules  
Product Type  
Organization  
128MB  
256MB  
256MB  
512MB  
×64  
×64  
×72  
×64  
1 Rank (×16) 1 Rank (×8) 1 Rank (×8) 2 Ranks (×8)  
Label Code  
PC2– PC2– PC2– PC2–  
4200U–444 4200U–444 4200E–444 4200U–444  
JEDEC SPD Revision  
Rev. 1.1  
HEX  
Rev. 1.1  
HEX  
Rev. 1.1  
HEX  
Rev. 1.2  
HEX  
Byte#  
Description  
23  
24  
25  
26  
27  
28  
29  
30  
31  
32  
33  
34  
35  
36  
37  
38  
39  
40  
41  
42  
43  
44  
45  
46  
47  
48  
t
t
t
t
t
t
t
t
CK @ CLMAX -1 (Byte 18) [ns]  
AC SDRAM @ CLMAX -1 [ns]  
CK @ CLMAX -2 (Byte 18) [ns]  
AC SDRAM @ CLMAX -2 [ns]  
RP.MIN [ns]  
3D  
50  
50  
60  
3C  
28  
3C  
2D  
20  
25  
37  
10  
22  
3C  
1E  
1E  
00  
00  
3C  
4B  
80  
1E  
28  
00  
56  
7A  
3D  
50  
50  
60  
3C  
1E  
3C  
2D  
40  
25  
37  
10  
22  
3C  
1E  
1E  
00  
00  
3C  
4B  
80  
1E  
28  
00  
55  
82  
3D  
50  
50  
60  
3C  
1E  
3C  
2D  
40  
25  
37  
10  
22  
3C  
1E  
1E  
00  
00  
3C  
4B  
80  
1E  
28  
00  
55  
82  
3D  
50  
50  
60  
3C  
1E  
3C  
2D  
40  
25  
37  
10  
22  
3C  
1E  
1E  
00  
00  
3C  
4B  
80  
1E  
28  
00  
55  
82  
RRD.MIN [ns]  
RCD.MIN [ns]  
RAS.MIN [ns]  
Module Density per Rank  
t
t
t
t
t
t
t
AS.MIN and tCS.MIN [ns]  
AH.MIN and tCH.MIN [ns]  
DS.MIN [ns]  
DH.MIN [ns]  
WR.MIN [ns]  
WTR.MIN [ns]  
RTP.MIN [ns]  
Analysis Characteristics  
t
t
t
t
t
t
RC and tRFC Extension  
RC.MIN [ns]  
RFC.MIN [ns]  
CK.MAX [ns]  
DQSQ.MAX [ns]  
QHS.MAX [ns]  
PLL Relock Time  
CASE.MAX Delta / T4R4W Delta  
Psi(T-A) DRAM  
T
Rev. 1.41, 2006-11  
57  
03062006-0GN5-WTPW  
Internet Data Sheet  
HYS[64/72]T[16/32/64]0xxHU–[2.5/../5]–A  
Unbuffered DDR2 SDRAM Modules  
Product Type  
Organization  
128MB  
256MB  
256MB  
512MB  
×64  
×64  
×72  
×64  
1 Rank (×16) 1 Rank (×8) 1 Rank (×8) 2 Ranks (×8)  
Label Code  
PC2– PC2– PC2– PC2–  
4200U–444 4200U–444 4200E–444 4200U–444  
JEDEC SPD Revision  
Rev. 1.1  
HEX  
Rev. 1.1  
HEX  
Rev. 1.1  
HEX  
Rev. 1.2  
HEX  
Byte#  
Description  
49  
50  
51  
52  
53  
54  
55  
56  
57  
58  
59  
60  
61  
62  
63  
64  
65  
66  
67  
68  
69  
70  
71  
72  
73  
74  
T0 (DT0)  
33  
29  
1F  
1B  
25  
13  
2E  
14  
23  
00  
00  
00  
00  
11  
46  
7F  
7F  
7F  
7F  
7F  
51  
00  
00  
xx  
37  
2B  
21  
1D  
28  
14  
2C  
15  
21  
00  
00  
00  
00  
11  
67  
7F  
7F  
7F  
7F  
7F  
51  
00  
00  
xx  
37  
2B  
21  
1D  
28  
14  
2C  
15  
21  
00  
00  
00  
00  
11  
79  
7F  
7F  
7F  
7F  
7F  
51  
00  
00  
xx  
37  
2B  
21  
1D  
28  
14  
2C  
15  
21  
00  
00  
00  
00  
12  
6C  
7F  
7F  
7F  
7F  
7F  
51  
00  
00  
xx  
T2N (DT2N, UDIMM) or T2Q (DT2Q, RDIMM)  
T2P (DT2P)  
T3N (DT3N)  
T3P.fast (DT3P fast)  
T3P.slow (DT3P slow)  
T4R (DT4R) / T4R4W Sign (DT4R4W)  
T5B (DT5B)  
T7 (DT7)  
Psi(ca) PLL  
Psi(ca) REG  
TPLL (DTPLL)  
TREG (DTREG) / Toggle Rate  
SPD Revision  
Checksum of Bytes 0-62  
Manufacturer’s JEDEC ID Code (1)  
Manufacturer’s JEDEC ID Code (2)  
Manufacturer’s JEDEC ID Code (3)  
Manufacturer’s JEDEC ID Code (4)  
Manufacturer’s JEDEC ID Code (5)  
Manufacturer’s JEDEC ID Code (6)  
Manufacturer’s JEDEC ID Code (7)  
Manufacturer’s JEDEC ID Code (8)  
Module Manufacturer Location  
Product Type, Char 1  
36  
34  
36  
34  
37  
32  
36  
34  
Product Type, Char 2  
Rev. 1.41, 2006-11  
58  
03062006-0GN5-WTPW  
Internet Data Sheet  
HYS[64/72]T[16/32/64]0xxHU–[2.5/../5]–A  
Unbuffered DDR2 SDRAM Modules  
Product Type  
Organization  
128MB  
256MB  
256MB  
512MB  
×64  
×64  
×72  
×64  
1 Rank (×16) 1 Rank (×8) 1 Rank (×8) 2 Ranks (×8)  
Label Code  
PC2– PC2– PC2– PC2–  
4200U–444 4200U–444 4200E–444 4200U–444  
JEDEC SPD Revision  
Rev. 1.1  
HEX  
Rev. 1.1  
HEX  
Rev. 1.1  
HEX  
Rev. 1.2  
HEX  
Byte#  
Description  
75  
76  
77  
78  
79  
80  
81  
82  
83  
84  
85  
86  
87  
88  
89  
90  
91  
92  
93  
94  
Product Type, Char 3  
54  
31  
36  
30  
30  
30  
48  
55  
33  
2E  
37  
41  
20  
20  
20  
20  
4x  
xx  
xx  
xx  
54  
33  
32  
30  
30  
31  
48  
55  
33  
2E  
37  
41  
20  
20  
20  
20  
4x  
xx  
xx  
xx  
54  
33  
32  
30  
30  
30  
48  
55  
33  
2E  
37  
41  
20  
20  
20  
20  
4x  
xx  
54  
36  
34  
30  
32  
30  
48  
55  
33  
2E  
37  
41  
20  
20  
20  
20  
2x  
xx  
Product Type, Char 4  
Product Type, Char 5  
Product Type, Char 6  
Product Type, Char 7  
Product Type, Char 8  
Product Type, Char 9  
Product Type, Char 10  
Product Type, Char 11  
Product Type, Char 12  
Product Type, Char 13  
Product Type, Char 14  
Product Type, Char 15  
Product Type, Char 16  
Product Type, Char 17  
Product Type, Char 18  
Module Revision Code  
Test Program Revision Code  
Module Manufacturing Date Year  
Module Manufacturing Date Week  
xx  
xx  
xx  
xx  
95 - 98 Module Serial Number  
99 - 127 Not used  
xx  
xx  
xx  
xx  
00  
FF  
00  
FF  
00  
FF  
00  
FF  
128 -  
255  
Blank for customer use  
Rev. 1.41, 2006-11  
59  
03062006-0GN5-WTPW  
Internet Data Sheet  
HYS[64/72]T[16/32/64]0xxHU–[2.5/../5]–A  
Unbuffered DDR2 SDRAM Modules  
TABLE 32  
SPD Codes for PC2–3200[U/E]–333  
Product Type  
Organization  
128MB  
256MB  
×64  
256MB  
×72  
×64  
1 Rank (×16)  
1 Rank (×8)  
1 Rank (×8)  
Label Code  
PC2–3200U–333 PC2–3200U–333 PC2–3200E–333  
JEDEC SPD Revision  
Rev. 1.1  
HEX  
Rev. 1.1  
HEX  
Rev. 1.1  
HEX  
Byte#  
Description  
0
Programmed SPD Bytes in EEPROM  
Total number of Bytes in EEPROM  
Memory Type (DDR2)  
80  
08  
08  
0D  
09  
60  
40  
00  
05  
50  
60  
00  
82  
10  
00  
00  
0C  
04  
38  
00  
02  
00  
01  
50  
60  
80  
08  
08  
0D  
0A  
60  
40  
00  
05  
50  
60  
00  
82  
08  
00  
00  
0C  
04  
38  
00  
02  
00  
01  
50  
60  
80  
08  
08  
0D  
0A  
60  
48  
00  
05  
50  
60  
02  
82  
08  
08  
00  
0C  
04  
38  
00  
02  
00  
01  
50  
60  
1
2
3
Number of Row Addresses  
Number of Column Addresses  
DIMM Rank and Stacking Information  
Data Width  
4
5
6
7
Not used  
8
Interface Voltage Level  
9
t
t
CK @ CLMAX (Byte 18) [ns]  
10  
11  
12  
13  
14  
15  
16  
17  
18  
19  
20  
21  
22  
23  
24  
AC SDRAM @ CLMAX (Byte 18) [ns]  
Error Correction Support (non-ECC, ECC)  
Refresh Rate and Type  
Primary SDRAM Width  
Error Checking SDRAM Width  
Not used  
Burst Length Supported  
Number of Banks on SDRAM Device  
Supported CAS Latencies  
DIMM Mechanical Characteristics  
DIMM Type Information  
DIMM Attributes  
Component Attributes  
t
t
CK @ CLMAX -1 (Byte 18) [ns]  
AC SDRAM @ CLMAX -1 [ns]  
Rev. 1.41, 2006-11  
60  
03062006-0GN5-WTPW  
Internet Data Sheet  
HYS[64/72]T[16/32/64]0xxHU–[2.5/../5]–A  
Unbuffered DDR2 SDRAM Modules  
Product Type  
Organization  
128MB  
256MB  
×64  
256MB  
×72  
×64  
1 Rank (×16)  
1 Rank (×8)  
1 Rank (×8)  
Label Code  
PC2–3200U–333 PC2–3200U–333 PC2–3200E–333  
JEDEC SPD Revision  
Rev. 1.1  
HEX  
Rev. 1.1  
HEX  
Rev. 1.1  
HEX  
Byte#  
Description  
25  
26  
27  
28  
29  
30  
31  
32  
33  
34  
35  
36  
37  
38  
39  
40  
41  
42  
43  
44  
45  
46  
47  
48  
49  
50  
51  
t
t
t
t
t
t
CK @ CLMAX -2 (Byte 18) [ns]  
AC SDRAM @ CLMAX -2 [ns]  
RP.MIN [ns]  
50  
60  
3C  
28  
3C  
28  
20  
35  
47  
15  
27  
3C  
28  
1E  
00  
00  
37  
4B  
80  
23  
2D  
00  
56  
7A  
2B  
20  
1F  
50  
60  
3C  
1E  
3C  
28  
40  
35  
47  
15  
27  
3C  
28  
1E  
00  
00  
37  
4B  
80  
23  
2D  
00  
53  
82  
2F  
23  
21  
50  
60  
3C  
1E  
3C  
28  
40  
35  
47  
15  
27  
3C  
28  
1E  
00  
00  
37  
4B  
80  
23  
2D  
00  
53  
82  
2F  
23  
21  
RRD.MIN [ns]  
RCD.MIN [ns]  
RAS.MIN [ns]  
Module Density per Rank  
t
t
t
t
t
t
t
AS.MIN and tCS.MIN [ns]  
AH.MIN and tCH.MIN [ns]  
DS.MIN [ns]  
DH.MIN [ns]  
WR.MIN [ns]  
WTR.MIN [ns]  
RTP.MIN [ns]  
Analysis Characteristics  
t
t
t
t
t
t
RC and tRFC Extension  
RC.MIN [ns]  
RFC.MIN [ns]  
CK.MAX [ns]  
DQSQ.MAX [ns]  
QHS.MAX [ns]  
PLL Relock Time  
CASE.MAX Delta / T4R4W Delta  
T
Psi(T-A) DRAM  
T0 (DT0)  
T2N (DT2N, UDIMM) or T2Q (DT2Q, RDIMM)  
T2P (DT2P)  
Rev. 1.41, 2006-11  
61  
03062006-0GN5-WTPW  
Internet Data Sheet  
HYS[64/72]T[16/32/64]0xxHU–[2.5/../5]–A  
Unbuffered DDR2 SDRAM Modules  
Product Type  
Organization  
128MB  
256MB  
×64  
256MB  
×72  
×64  
1 Rank (×16)  
1 Rank (×8)  
1 Rank (×8)  
Label Code  
PC2–3200U–333 PC2–3200U–333 PC2–3200E–333  
JEDEC SPD Revision  
Rev. 1.1  
HEX  
Rev. 1.1  
HEX  
Rev. 1.1  
HEX  
Byte#  
Description  
52  
53  
54  
55  
56  
57  
58  
59  
60  
61  
62  
63  
64  
65  
66  
67  
68  
69  
70  
71  
72  
73  
74  
75  
76  
77  
78  
T3N (DT3N)  
17  
1E  
13  
28  
13  
20  
00  
00  
00  
00  
11  
9A  
7F  
7F  
7F  
7F  
7F  
51  
00  
00  
xx  
19  
20  
14  
26  
14  
1F  
00  
00  
00  
00  
11  
BA  
7F  
7F  
7F  
7F  
7F  
51  
00  
00  
xx  
19  
20  
14  
26  
14  
1F  
00  
00  
00  
00  
11  
CC  
7F  
7F  
7F  
7F  
7F  
51  
00  
00  
xx  
T3P.fast (DT3P fast)  
T3P.slow (DT3P slow)  
T4R (DT4R) / T4R4W Sign (DT4R4W)  
T5B (DT5B)  
T7 (DT7)  
Psi(ca) PLL  
Psi(ca) REG  
TPLL (DTPLL)  
TREG (DTREG) / Toggle Rate  
SPD Revision  
Checksum of Bytes 0-62  
Manufacturer’s JEDEC ID Code (1)  
Manufacturer’s JEDEC ID Code (2)  
Manufacturer’s JEDEC ID Code (3)  
Manufacturer’s JEDEC ID Code (4)  
Manufacturer’s JEDEC ID Code (5)  
Manufacturer’s JEDEC ID Code (6)  
Manufacturer’s JEDEC ID Code (7)  
Manufacturer’s JEDEC ID Code (8)  
Module Manufacturer Location  
Product Type, Char 1  
36  
34  
54  
31  
36  
30  
36  
34  
54  
33  
32  
30  
37  
32  
54  
33  
32  
30  
Product Type, Char 2  
Product Type, Char 3  
Product Type, Char 4  
Product Type, Char 5  
Product Type, Char 6  
Rev. 1.41, 2006-11  
62  
03062006-0GN5-WTPW  
Internet Data Sheet  
HYS[64/72]T[16/32/64]0xxHU–[2.5/../5]–A  
Unbuffered DDR2 SDRAM Modules  
Product Type  
Organization  
128MB  
256MB  
×64  
256MB  
×72  
×64  
1 Rank (×16)  
1 Rank (×8)  
1 Rank (×8)  
Label Code  
PC2–3200U–333 PC2–3200U–333 PC2–3200E–333  
JEDEC SPD Revision  
Rev. 1.1  
HEX  
Rev. 1.1  
HEX  
Rev. 1.1  
HEX  
Byte#  
Description  
79  
80  
81  
82  
83  
84  
85  
86  
87  
88  
89  
90  
91  
92  
93  
94  
Product Type, Char 7  
30  
30  
48  
55  
35  
41  
20  
20  
20  
20  
20  
20  
4x  
xx  
xx  
xx  
xx  
00  
FF  
30  
31  
48  
55  
35  
41  
20  
20  
20  
20  
20  
20  
4x  
xx  
xx  
xx  
xx  
00  
FF  
30  
30  
48  
55  
35  
41  
20  
20  
20  
20  
20  
20  
4x  
xx  
xx  
xx  
xx  
00  
FF  
Product Type, Char 8  
Product Type, Char 9  
Product Type, Char 10  
Product Type, Char 11  
Product Type, Char 12  
Product Type, Char 13  
Product Type, Char 14  
Product Type, Char 15  
Product Type, Char 16  
Product Type, Char 17  
Product Type, Char 18  
Module Revision Code  
Test Program Revision Code  
Module Manufacturing Date Year  
Module Manufacturing Date Week  
95 - 98 Module Serial Number  
99 - 127 Not used  
128 -  
255  
Blank for customer use  
Rev. 1.41, 2006-11  
63  
03062006-0GN5-WTPW  
ꢈꢒ  
                                                                                                                             
ꢅꢀ0$;ꢒꢀ  
                                                                                                                               
                                                                                                                                
                                                                                                                                 
“
ꢃꢀ  
                                                                                                    
ꢁꢒꢂꢀ  
                                                                                                     
ꢂꢈꢋꢒꢆ  
                                                                        
                                                                         
                                                                          
                                                                           
ꢂꢀ  
ꢂꢈꢁꢀ  
                                                                                                             
                                                                                                              
“ꢁꢒꢂꢀ  
                                                                                              
                                                                                                
“
ꢈꢒꢃꢀ  
                                                                                               
ꢁꢒ  
ꢁꢒ  
                                                                                                 
ꢂꢀ  
                                                                        
“ꢁꢒꢂꢀ  
ꢂꢒꢈꢅꢀ  
                                                                                                                                                                      
                                                                                                                                                                       
                                                                                                                             
                                                                                                                              
“
ꢃꢀ  
                                                                                               
                                                                                                 
ꢂꢀ  
“
ꢄꢀ  
                                                                           
ꢁꢒ  
                                                                            
ꢂꢀ  
“ꢁꢒꢂꢀ  
ꢃꢃꢀ  
                                                                                                                            
                                                                                                                              
                                                        
                                                                                              
“
                                                                                                 
ꢁꢒ  
                                                                                                  
ꢂꢀ  
ꢂꢒꢃ  
                                                                         
                                   
                                    
ꢈꢉꢁꢀ  
                                                                                                             
                                                                                                              
ꢈꢂ  
ꢄꢀ0  
                                       
                                        
,1  
                                         
ꢒꢀ  
'H  
                                    
                                     
                                     
                                      
                                       
                                        
R
                                         
                                          
FR  
                                          
                                           
                                            
                                             
D
                                              
                                               
                                               
WD  
L
Oꢀ  
Iꢀ  
Q
W
FWV  
“
ꢁꢒꢋꢀ  
                                                                         
ꢁꢒꢈꢀ  
                                                                           
                                                       
ꢁꢒꢂꢀ$ꢀ %ꢀ &ꢀ  
                                                                
ꢂꢑꢀ2  
                                    
Q
                                     
ꢀ(  
                                       
&
                                        
                                         
&ꢀ  
                                           
PR  
                                            
G
                                             
X
                                              
O
                                               
                                               
                                                
ꢀR  
                                                  
Q
                                                  
O
                                                   
                                                    
HV  
\ꢀ  
*
                                                                                                                                                                   
                                                                                                                                                                    
'ꢁꢆꢊ  
                                                                                                                                                                      
                                                                                                                                                                       
                                                                                                                                                                        
                                                                                                                                                                         
ꢃꢈꢀ  
                                                                                                                                                                          
/
%X  
                                     
U
                                      
                                      
                                       
                                         
D[  
                                          
ꢒꢀꢁ  
                                            
                                             
ꢉꢀD  
                                               
O
                                               
                                                
                                                
                                                 
                                                  
HG  
                                                   
UꢀP  
O
RZ  
Internet Data Sheet  
HYS[64/72]T[16/32/64]0xxHU–[2.5/../5]–A  
Unbuffered DDR2 SDRAM Modules  
5
Package Outlines  
This chapter contains the package outlines of the products.  
FIGURE 6  
Package Outline Raw Card A L-DIM-240-1  
                                                                         
                                                                          
ꢄꢒꢄꢃꢀ  
                                                                            
                                                                             
ꢂꢑꢀ  
ꢉꢀ  
&ꢀ  
$ꢀ  
%ꢀ  
ꢂꢀ  
Notes  
1. Drawing according to ISO 8015  
2. Dimensions in mm  
3. General tolerances +/- 0.15  
Rev. 1.41, 2006-11  
64  
03062006-0GN5-WTPW  
                                                                                                                   
                                                                                                                    
                                                                                                                      
                                                                                                                       
                                                               
                                                                
                                                                  
                                                                   
ꢂꢀ  
ꢂꢈꢁꢀ  
                                                                                                   
                                                                                                    
                                                                
“ꢁꢒꢂꢀ  
ꢅꢀ  
                                                                                                                                                        
                                                                                                                                                          
ꢂꢒꢈ  
                                                                                                                   
                                                                                                                    
                                               
ꢃꢃꢀ  
                                                                                     
ꢄꢀ  
“ꢁꢒꢂꢀ  
ꢂꢒꢃꢀ  
                                                                                    
                                                                                     
                                                               
                         
                          
ꢈꢉꢁꢀ  
                                                                                                   
                                                                                                    
ꢂꢀ  
ꢐꢄꢑꢀ  
                            
'
                          
H
                           
                           
                            
                             
                              
R
                               
                               
FR  
ꢂꢀ  
                                
                                 
                                  
                                   
                                    
                                     
                                     
WD  
L
Oꢀ  
Iꢀ  
Q
WD  
FWVꢀ  
“
ꢁꢒꢋꢀ  
                                                            
ꢁꢒꢁꢃꢀ  
                                                              
                                                               
                                             
ꢁꢒꢂ$ %ꢀ &ꢀ  
                                                      
%
                           
                            
                            
                             
PD  
                               
                                
                                  
ꢒꢉ  
                                   
                                    
D
                                     
                                      
                                      
                                       
                                        
                                         
X
UU  
[ꢒꢀꢁ  
O
O
RZHGꢀ  
*
                                                                                                                                                      
                                                                                                                                                       
'ꢁꢆꢊ  
                                                                                                                                                        
                                                                                                                                                         
                                                                                                                                                          
                                                                                                                                                           
ꢃꢉꢀ  
                                                                                                                                                            
/
Internet Data Sheet  
HYS[64/72]T[16/32/64]0xxHU–[2.5/../5]–A  
Unbuffered DDR2 SDRAM Modules  
FIGURE 7  
Package Outline Raw Card C L-DIM-240-3  
                                                               
                                                                
ꢄꢒꢄ  
ꢋꢒꢆ  
                                                                  
                                                                   
ꢃꢀ  
ꢃꢀ  
ꢈꢒꢅ  
0$;ꢒꢀ  
ꢉꢀ  
ꢈꢒꢃꢀ  
&ꢀ  
ꢃꢀ  
$ꢀ  
%ꢀ  
Notes  
1. Drawing according to ISO 8015  
2. Dimensions in mm  
3. General tolerances +/- 0.15  
Rev. 1.41, 2006-11  
65  
03062006-0GN5-WTPW  
ꢈꢒ  
                                                                                                                          
ꢅꢀ0$;ꢒꢀ  
                                                                                                                           
                                                                                                                             
                                                                                                                              
“ꢁꢒꢂꢀ  
ꢃꢀ  
                                                                                                
                                                                                                 
ꢂꢈꢋꢒꢆ  
                                                                     
                                                                      
                                                                       
                                                                        
ꢂꢀ  
ꢂꢈꢁꢀ  
                                                                                                          
                                                                                                           
“
                                                                                          
ꢁꢒꢂꢀ  
                                                                                            
“ꢁꢒꢂꢀ  
                                                                                            
                                                                                             
ꢈꢒꢃꢀ  
                                                                     
“
ꢂꢒꢈꢅꢀ  
                                                                                                                                                                  
ꢁꢒꢂꢀ  
                                                                                                                                                                   
                                                                                                                          
                                                                                                                           
“
ꢃꢀ  
                                                                                            
ꢁꢒ  
                                                                                             
ꢂꢀ  
“
ꢄꢀ  
                                                                       
ꢁꢒ  
                                                                        
ꢂꢀ  
“ꢁꢒꢂꢀ  
ꢃꢃꢀ  
                                                                                                                        
                                                                                                                          
                                                     
                                                                                           
“
                                                                                             
ꢁꢒ  
                                                                                              
ꢂꢀ  
ꢂꢒꢃ  
                                                                      
                                
                                 
ꢈꢉꢁꢀ  
                                                                                                          
                                                                                                           
ꢈꢂ  
ꢄꢀ0  
                                    
                                     
,1  
                                      
ꢒꢀ  
'H  
                                 
                                  
                                  
                                   
                                    
                                     
R
                                      
                                       
FR  
                                       
                                        
                                         
                                          
DFWVꢀ  
                                           
                                            
                                            
WD  
L
Oꢀ  
Iꢀ  
Q
W
“
ꢁꢒꢋꢀ  
                                                                     
ꢁꢒꢈꢀ  
                                                                       
                                                    
ꢁꢒꢂ$ %ꢀ &ꢀ  
                                                             
%
                                  
                                   
                                    
                                     
                                      
                                       
                                         
                                          
ꢉꢀD  
                                            
                                            
                                             
                                             
                                              
                                               
                                                
X
U
UꢀPD  
[ꢒꢀꢁ  
O
O
RZHGꢀ  
*
                                                                                                                                                                
                                                                                                                                                                 
                                                                                                                                                                  
                                                                                                                                                                   
ꢂꢁꢄꢋꢀ  
                                                                                                                                                                    
                                                                                                                                                                     
                                                                                                                                                                      
/'ꢁ  
Internet Data Sheet  
HYS[64/72]T[16/32/64]0xxHU–[2.5/../5]–A  
Unbuffered DDR2 SDRAM Modules  
FIGURE 8  
Package Outline Raw Card D L-DIM-240-8  
                                                                      
                                                                       
ꢄꢒꢄꢃꢀ  
                                                                         
                                                                          
ꢉꢀ  
&ꢀ  
$ꢀ  
%ꢀ  
ꢂꢀ  
Notes  
1. Drawing according to ISO 8015  
2. Dimensions in mm  
3. General tolerances +/- 0.15  
Rev. 1.41, 2006-11  
66  
03062006-0GN5-WTPW  
ꢉꢀ0$;ꢒꢀ  
                                                                                                                          
                                                                                                                            
                                                                                                                             
“ꢁꢒꢂꢀ  
ꢃꢀ  
                                                                                                
                                                                                                 
                                                                     
                                                                      
                                                                       
                                                                        
ꢋꢒꢆ  
ꢂꢀ  
ꢂꢈꢁꢀ  
                                                                                                          
                                                                                                           
“
                                                                                          
ꢁꢒ  
                                                                                            
ꢂꢀ  
“
                                                                                            
ꢁꢒꢂ  
ꢁꢒꢂ  
                                                                                             
ꢈꢒꢃ  
                                                                     
“ꢁꢒꢂꢀ  
ꢂꢒꢈꢅꢀ  
                                                                                                                                                                  
                                                                                                                                                                   
                                                                                                                          
                                                                                                                           
“
                                                                                            
                                                                                             
“
ꢄꢀ  
                                                                       
ꢁꢒ  
                                                                        
ꢂꢀ  
“ꢁꢒꢂꢀ  
ꢃꢃꢀ  
                                                                                                                         
                                                                                                                          
                                                     
                                                                                           
“
ꢂꢒꢃꢀ  
                                                                                             
ꢁꢒꢂꢀ  
                                                                                              
                                                                      
                                
                                 
ꢈꢉꢁꢀ  
                                                                                                          
                                                                                                           
ꢈꢂ  
ꢄꢀ  
                                    
0,  
                                     
                                      
1ꢒꢀ  
'H  
                                 
                                  
                                  
D
                                   
                                    
                                     
                                      
                                       
                                       
                                        
                                         
                                          
DFWVꢀ  
                                           
                                            
                                            
W
L
OꢀR  
IꢀFR  
Q
W
“ꢁꢒꢈꢀ  
ꢁꢒꢋꢀ  
                                                                      
                                                                       
                                                    
ꢁꢒꢂ$ %ꢀ &ꢀ  
                                                             
%
                                  
                                   
                                    
ꢀP  
                                     
                                      
D
                                       
                                         
                                          
ꢉꢀD  
                                            
                                            
                                             
                                             
                                              
                                               
                                                
*
                                                                                                                                                                
                                                                                                                                                                 
'ꢁꢂꢁ  
                                                                                                                                                                  
                                                                                                                                                                   
                                                                                                                                                                    
                                                                                                                                                                     
ꢄꢆ  
                                                                                                                                                                      
XUU  
[ꢒꢀꢁ  
OORZHGꢀ  
/
Internet Data Sheet  
HYS[64/72]T[16/32/64]0xxHU–[2.5/../5]–A  
Unbuffered DDR2 SDRAM Modules  
FIGURE 9  
Package Outline Raw Card E L-DIM-240-9  
ꢂꢄ  
                                                                      
                                                                       
ꢄꢒ  
                                                                         
                                                                          
ꢃꢀ  
ꢉꢀ  
&ꢀ  
ꢃꢀ  
$ꢀ  
%ꢀ  
ꢂꢀ  
Notes  
1. Drawing according to ISO 8015  
2. Dimensions in mm  
3. General tolerances +/- 0.15  
Rev. 1.41, 2006-11  
67  
03062006-0GN5-WTPW  
ꢈꢒ  
                                                                                                                  
ꢅꢀ0$;ꢒꢀ  
                                                                                                                    
                                                                                                                     
                                                                                                                      
“ꢁꢒꢂꢀ  
ꢃꢀ  
                                                                                      
                                                                                       
                                                             
                                                              
                                                               
                                                                
ꢋꢒ  
ꢂꢀ  
ꢂꢈꢁꢀ  
                                                                                                  
                                                                                                   
“
                                                                                
ꢁꢒꢂꢀ  
                                                                                  
“ꢁꢒꢂꢀ  
                                                                                 
                                                                                   
ꢈꢒꢃꢀ  
                                                             
“
ꢅꢀ  
                                                                                                                                                        
ꢁꢒꢂꢀ  
                                                                                                                                                         
ꢂꢒ  
                                                                                                                  
                                                                                                                   
“
ꢃꢀ  
                                                                                 
ꢁꢒ  
                                                                                   
ꢂꢀ  
“
ꢄꢀ  
                                                             
ꢁꢒ  
                                                              
ꢂꢀ  
“ꢁꢒꢂꢀ  
ꢃꢃꢀ  
                                                                                                              
                                                                                                                
                                             
                                                                                   
“
                                                                                   
ꢁꢒ  
                                                                                    
ꢂꢀ  
ꢂꢒꢃ  
                                                              
                         
                         
ꢈꢉꢁꢀ  
                                                                                                  
                                                                                                   
ꢈꢂ  
ꢄꢀ0  
                            
                              
,1  
                              
ꢒꢀ  
'H  
                         
                          
                           
                            
                            
                             
R
                              
                               
FR  
                                
                                 
                                  
                                  
D
                                   
                                    
                                    
WD  
L
Oꢀ  
Iꢀ  
Q
W
FWVꢀ  
“
ꢁꢒꢋꢀ  
                                                           
ꢁꢒꢈꢀ  
                                                             
                                            
ꢁꢒꢂ$ %ꢀ &ꢀ  
                                                     
%
                          
                           
                            
                             
                              
                               
                                 
                                  
ꢉꢀ  
                                    
D
                                     
                                     
                                     
                                      
                                        
                                         
X
U
UꢀPD  
[ꢒꢀꢁ  
O
O
RZHGꢀ  
*
                                                                                                                                                      
                                                                                                                                                      
'ꢁꢂꢁ  
                                                                                                                                                        
                                                                                                                                                         
                                                                                                                                                          
                                                                                                                                                           
ꢄꢈꢀ  
                                                                                                                                                            
/
Internet Data Sheet  
HYS[64/72]T[16/32/64]0xxHU–[2.5/../5]–A  
Unbuffered DDR2 SDRAM Modules  
FIGURE 10  
Package Outline Raw Card F L-DIM-240-6  
                                                              
                                                               
ꢄꢒꢄꢃꢀ  
                                                                 
                                                                  
ꢉꢀ  
&ꢀ  
$ꢀ  
%ꢀ  
ꢂꢀ  
Notes  
1. Drawing according to ISO 8015  
2. Dimensions in mm  
3. General tolerances +/- 0.15  
Rev. 1.41, 2006-11  
68  
03062006-0GN5-WTPW  
ꢉꢀ  
                                                                                                                   
0$;ꢒꢀ  
                                                                                                                    
                                                                                                                     
“ꢁꢒꢂꢀ  
ꢃꢀ  
                                                                                      
                                                                                       
                                                             
                                                              
                                                               
                                                                
ꢋꢒ  
ꢂꢀ  
ꢂꢈꢁꢀ  
                                                                                                  
                                                                                                   
“
                                                                                
ꢁꢒꢂꢀ  
                                                                                  
“ꢁꢒꢂꢀ  
                                                                                 
                                                                                   
ꢈꢒꢃꢀ  
                                                             
“
ꢅꢀ  
                                                                                                                                                        
ꢁꢒꢂꢀ  
                                                                                                                                                         
ꢂꢒ  
                                                                                                                  
                                                                                                                   
“
ꢃꢀ  
                                                                                 
ꢁꢒ  
                                                                                   
ꢂꢀ  
“
ꢄꢀ  
                                                             
ꢁꢒ  
                                                              
ꢂꢀ  
“ꢁꢒꢂꢀ  
ꢃꢃꢀ  
                                                                                                              
                                                                                                                
                                             
                                                                                   
“
                                                                                   
ꢁꢒ  
                                                                                    
ꢂꢀ  
ꢂꢒꢃ  
                                                              
                         
                         
ꢈꢉꢁꢀ  
                                                                                                  
                                                                                                   
ꢈꢂ  
ꢄꢀ0  
                            
                              
,1  
                              
ꢒꢀ  
'H  
                         
                          
                           
                            
                            
                             
R
                              
                               
FR  
                                
                                 
                                  
                                  
D
                                   
                                    
                                    
WD  
L
Oꢀ  
Iꢀ  
Q
W
FWVꢀ  
“
ꢁꢒꢋꢀ  
                                                           
ꢁꢒꢈꢀ  
                                                             
                                            
ꢁꢒꢂ$ %ꢀ &ꢀ  
                                                     
%
                          
                           
                            
                             
                              
                               
                                 
                                  
ꢉꢀ  
                                    
D
                                     
                                     
                                     
                                      
                                        
                                         
X
U
UꢀPD  
[ꢒꢀꢁ  
O
O
RZHGꢀ  
*
                                                                                                                                                      
                                                                                                                                                      
                                                                                                                                                        
                                                                                                                                                         
                                                                                                                                                          
ꢁꢄꢅꢀ  
                                                                                                                                                           
                                                                                                                                                            
/'ꢁꢂ  
Internet Data Sheet  
HYS[64/72]T[16/32/64]0xxHU–[2.5/../5]–A  
Unbuffered DDR2 SDRAM Modules  
FIGURE 11  
Package Outline Raw Card G L-DIM-240-7  
                                                              
                                                               
ꢄꢒꢄꢃꢀ  
                                                                 
                                                                  
ꢉꢀ  
&ꢀ  
$ꢀ  
%ꢀ  
ꢂꢀ  
Notes  
1. Drawing according to ISO 8015  
2. Dimensions in mm  
3. General tolerances +/- 0.15  
Rev. 1.41, 2006-11  
69  
03062006-0GN5-WTPW  
Internet Data Sheet  
HYS[64/72]T[16/32/64]0xxHU–[2.5/../5]–A  
Unbuffered DDR2 SDRAM Modules  
6
Product Type Nomenclature  
Qimonda’s nomenclature uses simple coding combined with some propriatory coding. Table 33 provides examples for module  
and component product type number as well as the field number. The detailed field description together with possible values  
and coding explanation is listed for modules in Table 34 and for components in Table 35.  
TABLE 33  
Nomenclature Fields and Examples  
Example for  
Field Number  
1
2
3
4
5
6
7
8
9
10  
11  
Micro-DIMM  
DDR2 DRAM  
HYS  
HYB  
64  
18  
T
T
64/128  
0
2
0
0
K
A
M
C
–5  
–5  
–A  
512/1G 16  
TABLE 34  
DDR2 DIMM Nomenclature  
Field  
Description  
Values  
Coding  
1
2
Qimonda Module Prefix  
Module Data Width [bit]  
HYS  
64  
Constant  
Non-ECC  
ECC  
72  
3
4
DRAM Technology  
T
DDR2  
Memory Density per I/O [Mbit];  
Module Density1)  
32  
256 MByte  
512 MByte  
1 GByte  
2 GByte  
4 GByte  
64  
128  
256  
512  
0 .. 9  
0, 2, 4  
0 .. 9  
A .. Z  
D
5
6
7
8
9
Raw Card Generation  
Number of Module Ranks  
Product Variations  
Look up table  
1, 2, 4  
Look up table  
Look up table  
SO-DIMM  
Package, Lead-Free Status  
Module Type  
M
Micro-DIMM  
Registered  
Unbuffered  
Fully Buffered  
R
U
F
Rev. 1.41, 2006-11  
70  
03062006-0GN5-WTPW  
Internet Data Sheet  
HYS[64/72]T[16/32/64]0xxHU–[2.5/../5]–A  
Unbuffered DDR2 SDRAM Modules  
Field  
Description  
Values  
Coding  
10  
Speed Grade  
–2.5F  
–2.5  
–3  
PC2–6400 5–5–5  
PC2–6400 6–6–6  
PC2–5300 4–4–4  
PC2–5300 5–5–5  
PC2–4200 4–4–4  
PC2–3200 3–3–3  
First  
–3S  
–3.7  
–5  
11  
Die Revision  
–A  
–B  
Second  
1) Multiplying “Memory Density per I/O” with “Module Data Width” and dividing by 8 for Non-ECC and 9 for ECC modules gives the overall  
module memory density in MBytes as listed in column “Coding”.  
TABLE 35  
DDR2 DRAM Nomenclature  
Field  
Description  
Values  
Coding  
1
2
3
4
Qimonda Component Prefix  
Interface Voltage [V]  
HYB  
18  
Constant  
SSTL_18  
DRAM Technology  
T
DDR2  
Component Density [Mbit]  
256  
512  
1G  
2G  
40  
256 Mbit  
512 Mbit  
1 Gbit  
2 Gbit  
5+6  
Number of I/Os  
×4  
80  
×8  
16  
×16  
7
8
Product Variations  
Die Revision  
0 .. 9  
A
Look up table  
First  
B
Second  
9
Package, Lead-Free Status  
Speed Grade  
C
FBGA, lead-containing  
FBGA, lead-free  
DDR2-800 5-5-5  
DDR2-800 6-6-6  
DDR2-667 4-4-4  
DDR2-667 5-5-5  
DDR2-533 4-4-4  
DDR2-400 3-3-3  
F
10  
–25F  
–2.5  
–3  
–3S  
–3.7  
–5  
Rev. 1.41, 2006-11  
71  
03062006-0GN5-WTPW  
Internet Data Sheet  
HYS[64/72]T[16/32/64]0xxHU–[2.5/../5]–A  
Unbuffered DDR2 SDRAM Modules  
Table of Contents  
1
1.1  
1.2  
Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3  
Features . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3  
Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4  
2
Pin Configuration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6  
3
3.1  
3.2  
3.3  
3.3.1  
3.3.2  
3.3.3  
3.4  
Electrical Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15  
Absolute Maximum Ratings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15  
DC Operating Conditions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16  
Timing Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17  
Speed Grade Definitions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17  
Component AC Timing Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 20  
ODT AC Electrical Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 32  
IDD Specifications and Conditions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 34  
4
5
6
SPD Codes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 39  
Package Outlines . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 64  
Product Type Nomenclature . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 70  
Table of Contents . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 72  
Rev. 1.41, 2006-11  
72  
03062006-0GN5-WTPW  
Internet Data Sheet  
Edition 2006-11  
Published by Qimonda AG  
Gustav-Heinemann-Ring 212  
D-81739 München, Germany  
© Qimonda AG 2006.  
All Rights Reserved.  
Legal Disclaimer  
The information given in this Internet Data Sheet shall in no event be regarded as a guarantee of conditions or characteristics  
(“Beschaffenheitsgarantie”). With respect to any examples or hints given herein, any typical values stated herein and/or any  
information regarding the application of the device, Qimonda hereby disclaims any and all warranties and liabilities of any kind,  
including without limitation warranties of non-infringement of intellectual property rights of any third party.  
Information  
For further information on technology, delivery terms and conditions and prices please contact your nearest Qimonda Office.  
Warnings  
Due to technical requirements components may contain dangerous substances. For information on the types in question please  
contact your nearest Qimonda Office.  
Qimonda Components may only be used in life-support devices or systems with the express written approval of Qimonda, if a  
failure of such components can reasonably be expected to cause the failure of that life-support device or system, or to affect  
the safety or effectiveness of that device or system. Life support devices or systems are intended to be implanted in the human  
body, or to support and/or maintain and sustain and/or protect human life. If they fail, it is reasonable to assume that the health  
of the user or other persons may be endangered.  
www.qimonda.com  

相关型号:

HYS72T64300EP-3S-B2

DDR DRAM Module, 64MX72, 0.45ns, CMOS, GREEN, RDIMM-240
QIMONDA

HYS72T64300HP-3.7-A

240-Pin Registered DDR2 SDRAM Modules
QIMONDA

HYS72T64300HP-3S-A

240-Pin Registered DDR2 SDRAM Modules
QIMONDA

HYS72T64301HP

240-Pin Registered DDR2 SDRAM Modules
QIMONDA

HYS72T64301HP-3.7-A

240-Pin Registered DDR2 SDRAM Modules
QIMONDA

HYS72T64301HP-3S-A

240-Pin Registered DDR2 SDRAM Modules
QIMONDA

HYS72T64400EFD-3S-B2

DDR DRAM Module, 64MX72, CMOS, GREEN, DIMM-240
QIMONDA

HYS72T64400HFA

240-Pin Fully-Buffered DDR2 SDRAM Modules
QIMONDA

HYS72T64400HFA-2.5-B

240-Pin Fully-Buffered DDR2 SDRAM Modules
QIMONDA

HYS72T64400HFA-3.7-B

240-Pin Fully-Buffered DDR2 SDRAM Modules
QIMONDA

HYS72T64400HFA-3S-B

240-Pin Fully-Buffered DDR2 SDRAM Modules
QIMONDA

HYS72T64400HFD

240-Pin Fully-Buffered DDR2 SDRAM Modules
QIMONDA