ATC18RHA95-216KU [MICROCHIP]

Consumer IC,;
ATC18RHA95-216KU
型号: ATC18RHA95-216KU
厂家: MICROCHIP    MICROCHIP
描述:

Consumer IC,

文件: 总16页 (文件大小:150K)
中文:  中文翻译
下载:  下载PDF数据表文档文件
Feature  
s
Comprehensive Library of Standard Logic and I/O Cells  
Up to 6.5 usable Mgates equivalent NAND2  
Operating voltage 1.8V for core and 3.3V or 2.5V for I/O’s  
Memory Cells Compiled or synthesized to the Requirements of the Design  
EDAC Library  
Cold Sparing Buffers  
High Speed LVDS Buffers (655Mbps)  
PCI Buffers  
MQFP Package Up to 352 Pins (336 Signal Pins)  
MLGA Packages Up to 625 Pins (575 Signal Pins)  
ESD better than 2000V for I/O and better than 1000V for PLL  
Predefined Die Sizes to Accommodate Standardized Packages  
Space Multi Project Wafer - SMPW - possibility  
No single event latch-up below a LET threshold of 95 Mev/mg/cm² at 125°C  
SEU hardened flip-flops  
Rad. Hard  
0.18 µm CMOS  
Cell-basedASIC  
for Space Use  
Tested up to a total dose of 300 krads (Si) according to Mil Std 883 Test Method 1019  
Quality Grades: QML-Q and QML-V with 5962-06B02, ESCC 9000  
Description  
ATC18RHA  
The ATC18RHA asic family provides high-performance and high-density solutions for  
space applications. ATC18RHA is fabricated on a 0.18 µm, five-metal-layers CMOS  
process intended for use with a supply voltage of 1.8V for core. It offers up to 6.5 mil-  
lion routable gates and more than 800 pads.  
The ATC18RHA family is supported by a combination of state-of-art third-party and  
proprietary design tools: Synopsys, Mentor and Cadence are the reference front end  
and back end tools suppliers.  
The ATC18RHA asic family is available in several quality assurance grades, such as  
Mil-Prf 38535 QML-Q and QML-V and ESCC 9000.  
4261G–AERO–02/11  
Overview  
Introduction  
The ASIC “ATC18RHA Design Manual” presents all the required information and flows for  
0.18µm designs for aerospace applications, allowing users to view Atmel specific or standard  
commercial tool kits and methodological details for actual implementations.  
This offering is a 0.18µm CMOS technology based, specified with the 3.3V or 2.5V range for the  
periphery (it should be noticed that mixed supply is not allowed), and with the 1.8V range for the  
core. The technology parameters and some extra features are described here after.  
Periphery  
Buffers Description  
The peripheral buffer, so called pad, is the electrical interface between the external signals (volt-  
age range from 2.3 to 3.6V) and the internal core signals (from 1.65 to 1.95V).  
All I/O pads are Cold Sparing and tolerant, they contains:  
• Bidirectional pads  
• Tristate Output pads  
• Output Only pads  
• Input Only pads (Inverting,Non-Inverting,Schmitt Trigger)  
Furthermore the Bidirectional, Tristate Ouputs and Input Only pads are available with or without  
Pull-Up or Pull-Down structures.  
Specific pads have been developed in 3.3V and 2.5V:  
LVDS transmitter and Receiver differential pads  
Cold sparing and tolerant only when they are disabled (ien=’1’ or oen=’1’)  
LVPECL Receiver differential pads  
And, in 3.3V only:  
• Cold sparing PCI Bidirectional, Tristate Output and Output Only pads  
Clusters  
The periphery of the chip (pad ring) can be split into several I/O segments (I/O clusters), some  
clusters can be unpowered while others are active.  
A specific Power control line is distributed inside the cluster to be able to force all the I/Os of the  
cluster in tristate mode whatever their initial state is (ie: an output only buffer will also be turned  
to HiZ mode).  
Double Pad Ring  
In order to increase the number of programmable I/O’s, Atmel proposes the double pad ring con-  
figuration. The number of pads on the inner ring will be tailored to the actual need of each  
design.  
Core supplies are automatically routed to the inner ring. As long as the inner ring of the double  
pad ring configuration is used only for core supply pads, the designs are produceable to space  
quality levels. During feasibility study, an investigation will be conducted to evaluate if additional  
pads, and how many, can be added in the inner ring to be used as I/O’s and still be produced in  
space quality level. Anyhow, the resulting total number of pads in the inner ring will not go above  
maximum number given in table 2.  
2
ATC18RHA  
4261G–AERO–02/11  
ATC18RHA  
Core  
Standard cell library  
The Atmel Standard Cell Library contains a comprehensive set of a combination of logic and  
storage cells, including cells that belong to the following categories:  
• Buffers and Gates  
• PLL  
• Multiplexers  
• Standard and SEU Hardened Flip-flops  
• Standard and SEU Hardened Scan Flip-flops  
• Latches  
• Adders and Subtractors  
Memory Hard Blocks  
The ATC18RHA memory libraries are developed from Virage memory compilers. All these mem-  
ories are synchronous.  
It can compile single-port synchronous SRAM, dual port (2RW) synchronous SRAM and Two-  
port (1W,1R) synchronous Register-File.  
For maximum block sizes, see the design manual.  
Array Organization  
Though ATC18RHA is a standard cell library, pre-defined matrix sizes and pad frames have  
been set so as to ease the assembly of every individual ASIC design by sticking to presently  
available package cavity sizes and layouts. These are close in size to MH1RT matrix sizes.  
The following tables give, for each matrix, for a single or a double pad ring configuration, the  
maximum number of pads on the outer ring, the maximum number of pads implementable on the  
inner ring, and the resulting typical gate count capability of each matrix.  
Table 1. Single Pad Ring Standard Arrays Dimensions and Integration Capabilities  
Name  
MH1RT Equivalence  
NA  
Size (mm)  
6.19x6.19  
Pads  
216  
324  
404  
504  
544  
Usable Gates (typ)  
ATC18RHA95_216  
ATC18RHA95_324  
ATC18RHA95_404  
ATC18RHA95_504  
ATC18RHA95_544  
1M  
MH1099E  
MH1156E  
MH1242E  
NA  
8.76x8.76  
2.2M  
3.5M  
5.5M  
6.5M  
10.66x10.66  
13.03x13.03  
14.03x14.03  
Table 2. Double Pad Ring Standard Arrays Dimensions and Integration Capabilities  
Outer Ring Programmable  
Pads  
Inner Ring Max  
Number of Pads  
Name  
Size (mm)  
6.19x6.19  
Usable Gates (typ)  
0.725M  
ATC18RHA95_216D  
ATC18RHA95_324D  
ATC18RHA95_404D  
ATC18RHA95_504D  
ATC18RHA95_544D  
216  
324  
404  
504  
544  
88  
140  
180  
232  
252  
8.76x8.76  
1.8M  
10.66x10.66  
13.03x13.03  
14.03x14.03  
2.97M  
4.83M  
5.71M  
3
4261G–AERO–02/11  
Design  
Management  
Introduction  
Atmel used to propose different design modes, where each mode indicated the designer respon-  
sibilities, the design location and the design tools. With designs becoming more complex, timing  
and power constraints more severe, and design behaviour more technology dependent, Atmel  
believes that any design must be a close cooperation between the customer and the manufac-  
turer. Therefore, only one design scenario is retained: the ASIC chip is designed by the  
customer, at his site with a set of design tools supported by Atmel.  
Design Phases  
The development of an ASIC chip can be split into 4 main phases.  
A meeting is set between each phase.  
Figure 1. Design Management Phases  
During the review meetings, the conformity of the design to Atmel rules is checked and acknowl-  
edged in formal documents, and the data is transferred to the next phase. The content of each  
phase and responsibilities are described in the ‘ATC18RHA design manual’.  
Deliverables  
Table 3. Deliverables at the end of each phase  
DESIGN PHASE  
DELIVERABLE  
WHO  
Atmel  
ASIC feasibility study report (APF-tc-FSR-project code).  
Design start review document (APF-tc-DSR-project code).  
FEASIBILITY STUDY  
ASIC logic review document (APF-tc-LR-project code) +  
Files as required in the document.  
CUSTOMER  
Atmel  
LOGIC DESIGN  
Updated DSR document  
ASIC design review document (APF-tc-DR-project code) +  
Files as required in the document.  
CUSTOMER  
Atmel  
PHYSICAL DESIGN  
Updated DSR document  
PROTOTYPES MANUFACTURING  
& TEST  
Packaged parts and associated documents  
Atmel  
4
ATC18RHA  
4261G–AERO–02/11  
ATC18RHA  
Design Flows  
Introduction  
This chapter summarizes the design flow with reference to different platforms used for Cell  
based chip design. For further details, refer to the ATC18RHA design manual.  
Figure 2. Global design flow  
Atmel Package Assistant is running on SUN stations under SOLARIS and on LINUX PC (Red-  
Hat distribution from version 7.0). Design Kits are compatible with both platforms depending on  
third party tools availability. Hardware platform memory requirement is design dependant.  
Design Kit  
The use of both external and internal ICCAD tools requires the modelization of each library ele-  
ment. The set of required files for all the supported CAD tools relevant to the ATC18RHA family  
is called the ATC18RHA Design Kit. These files describe the functionality, including or not tim-  
ings and other attributes, with respect to each targeted tools modelization features and methods.  
The design kit contains relevant descriptions of standard cells and peripheral cells, given for dif-  
ferent pre-defined ranges of temperature, voltage and process.  
5
4261G–AERO–02/11  
Design flow  
The Design flow can be described in two sections.  
The front-end done at The following table lists the activities and tools that will be used during the front-end  
design.Tools in bold are included in the ‘ATC18RHA design kit’.  
the customer’s  
premises  
Table 4. Front-end tools  
Function  
RTL simulation  
Tool  
Supplier  
MENTOR  
MODELSIM  
Code coverage  
HDL synthesis  
VHDL-COVER  
TRANSEDA  
SYNOPSYS  
SYNOPSYS  
SYNOPSYS  
SYNOPSYS  
SYNOPSYS  
MENTOR  
DESIGN-COMPILER  
DC TOPO, ICC  
POWER-COMPILER  
PrimetimePX  
DFTC  
Physical synthesis  
Power optimization  
Power analysis  
DFT tools  
DFT SUITE  
Gate level simulation  
Design rules check  
MODELSIM  
MENTOR  
STAR  
Atmel  
6
ATC18RHA  
4261G–AERO–02/11  
ATC18RHA  
The back-end at Atmel Provided that the front-end activity has been validated and accepted by Atmel during the Logic  
Review (LR) meeting, the following table lists the activities and the tools that will be used during  
the back-end design  
Technical Centers  
Table 5. Back-end tools  
Activities  
Function  
Tool  
Supplier  
Atmel  
Array Definition  
Mgtechgen  
Pimtool  
P2def  
Bonding diagram  
Atmel  
Bonding diagram  
Pads pre-placement  
Periphery check  
Atmel  
COP  
Atmel  
IBIS model  
Genibis  
Silver  
Atmel  
Blocks Preplacement  
Virtual Layout Prototyping  
Physical Knowledgeable Synthesis  
Power routing  
Atmel  
First Encounter  
FE OPT.  
Snow  
CADENCE  
CADENCE  
Atmel  
Placement  
FE Place  
FE Place  
FE CTS  
CADENCE  
CADENCE  
CADENCE  
CADENCE  
CADENCE  
CADENCE  
Atmel  
Scan chains ordering  
Clock tree synthesis  
Routing  
Physical implementation  
Nanoroute  
FE OPT.  
FE  
Final violation fix  
Eco Place and route  
Layout edition  
Silver  
3D extraction  
Star-RCXT  
Prime time  
Formality  
Modelsim  
Nc-sim  
SYNOPSYS  
SYNOPSYS  
SYNOPSYS  
MENTOR  
CADENCE  
SYNOPSYS  
CADENCE  
CADENCE  
CADENCE  
CADENCE  
Atmel  
Static timing analysis  
Equivalence checking  
Back-annotated simulation  
Consumption analysis  
Power scheme check  
Cross talk analysis  
Cross talk errors fix  
Final analysis  
PrimetimePX  
Voltagestorm  
Celtic  
Final verifications  
Nanoroute  
Celtic-NDC  
TVT  
Test patterns  
GDSII generation  
SE2GDS  
Atmel  
7
4261G–AERO–02/11  
Space Multi  
Project Wafer  
Atmel proposes a Multi Projects Wafer service, so called SMPW, in order to decrease the cost of  
reticules and silicon by sharing them over several designs. Specific milestones have been cre-  
ated to coordinate the activities and guarantee that there will be no interaction between  
customer designs.  
Main milestones are the Logic Review Closing Date (LRCD) and the Design Review Closing  
Date (DRCD). The LR meeting must be held prior to LRCD and the DR meeting prior to DRCD.  
For each SMPW, those dates are known in advance.  
Any questions related to SMPW service can be addressed to the hotline, at smpw-  
atc18@nto.atmel.com.  
Advanced  
Packaging  
The ATC18RHA Series are offered in ceramic packages: multi layers quad flat packs (MQFP)  
with up to 352 pins and a multi layer land grid array (MLGA) with up to 625 lands.  
The following table provides the standard matrix / package combination in single and double ring  
configuration.  
In addition, Atmel proposes custom packages development for specific requirements.  
Table 6. Dice/Packages standardized combinations  
ATC18RHA95 ATC18RHA95 ATC18RHA95 ATC18RHA95 ATC18RHA95  
_504  
_216  
_324  
_404  
_544  
MQFPT352  
MQFPF256  
MQFPF196  
MQFPF160  
MQFPF100  
AlN MLGA625  
MLGA625  
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
MLGA472  
X
X
MLGA349  
X
Testability  
Techniques  
For complex designs, involving blocks of memory and/or cores, careful attention must be given  
to design-for-test techniques. The sheer size of complex designs and the number of functional  
vectors that would need to be created to exercise them fully, strongly suggests the use of more  
efficient techniques. Combinations of SCAN paths, multiplexed access to memory and/or core  
blocks, and built-in-self-test logic must be employed, in addition to functional test patterns, to  
provide both the user and Atmel the ability to test the finished product.  
An example of a highly complex design could include a PLL for clock management or synthesis,  
a microcontroller or DSP engine or both, SRAM to support the microcontroller or DSP engine,  
and glue logic to support the interconnectivity of each of these blocks. The design of each of  
these blocks must take into consideration the fact that the manufactured device will be tested on  
a high performance digital tester. Combinations of parametric, functional, and structural tests,  
defined for digital testers, should be employed to create a suite of manufacturing tests.  
The type of block dictates the type of testability technique to be employed. The PLL will, by con-  
struction, provide access to key nodes so that functional and/or parametric testing can be  
8
ATC18RHA  
4261G–AERO–02/11  
ATC18RHA  
performed. Since a digital tester must control all the clocks during the testing of chip, provision  
must be made for the VCO to be bypassed. Atmel’s PLLs include a multiplexing capability for  
just this purpose. The addition of a few pins will allow other portions of the PLL to be isolated for  
test, without impinging upon the normal functionality.  
In a similar vein, access to microcontroller, DSP, and SRAM blocks must be provided so that  
controllability and observability of the inputs and outputs to the blocks are achieved with the min-  
imum amount of preconditioning. SRAM blocks need to provide access to both address and data  
ports so that comprehensive memory tests can be performed. Multiplexing I/O pins provides a  
method for providing this accessibility.  
The glue logic can be designed using full SCAN techniques to enhance its testability.  
It should be noted that, in almost all of these cases, the purpose of the testability technique is to  
provide Atmel a means to assess the structural integrity of the chip, i.e., sort devices with manu-  
facturing-induced defects. All of the techniques described above should be considered  
supplemental to a set of patterns which exercise the functionality of the design in its anticipated  
operating modes.  
Radiation  
Hardness  
ATC18RHA Asics are designed and processed to be Rad-Hard. The ATC18RHA standard cell  
library encompasses all the specific functions and buffers necessary for space designs, such as  
LVDS transmitters and receivers, PCI buffers, SEU hardened DFFs and cold sparing buffers.  
Key radiation-tolerance parameters are controlled and monitored.  
Table 7. Radiation-Tolerance key parameters  
Radiation Hardness  
Assurance  
Parameter  
TID (1,2)  
Total Ionizing Dose  
100 krads(Si)  
SEU(1, 3)  
Single Event Upset  
< 4.10-11 errors/bit-day  
SEL (1, 4)  
Single Event Latch-up  
LET > 95 MeV/mg/cm² at 125°C  
Notes:  
(1) Report available under request, after Non-Disclosure Agreement  
(2) Co-60 testing, in compliance with Mil-Std 883 TM 1019.5: Tested at 25°C, with a total dose rate of 300  
rad/h and a total dose of 300 krad(Si)  
(3) Based on hardened DFF included in a SEC (Standard Evaluation Circuit), at 1.65V for core, 3V for I/O’s  
and 25°C.  
(4) In worst case: 1.95V for core, 3.6V for I/O’s  
9
4261G–AERO–02/11  
Electrical Characteristics  
Absolute Maximum Ratings  
*NOTICE:  
This absolute maximum ratings voltage is the  
maximum voltage that guarantees that the  
device will not be burned if those maximum volt-  
ages are applied during a very limited period of  
time. This is not a guarantee of functionality or  
reliability. The users must be warned that if a  
voltage exceeding the maximum voltage (nomi-  
nal +10%) and below this absolute maximum rat-  
ing voltages, is applied to their devices, the  
reliability of their devices will be affected.  
Core Supply Voltage VDD .....................................-0.3V to +2V  
Buffer Supply Voltage VCC....................................-0.3V to +4V  
Buffer Input Voltage............................................... -0.3V to +4V  
Storage Temperature...................................... -65°C to +150°C  
ESD for I/O....................................................................>2000V  
ESD for PLL .................................................................>1000V  
Recommended  
Operating  
Conditions  
Core Supply Voltage VDD  
1.65V to 1.95V  
3.0V to 3.6V  
2.3V to 2.7V  
0V to VCC  
3.3V Buffer Supply Voltage VCC  
2.5V Buffer Supply Voltage VCC  
Buffer Input Voltage  
Storage Temperature  
-65°C to +150°C  
Consumption  
Symbol  
Ta  
Parameter  
Operating Temperature  
Supply Voltage  
Min  
Typ  
Max  
125  
Unit  
°C  
Test Conditions  
-55  
1.65  
25  
VDD  
1.8  
1.95  
5.5  
V
core  
ICCSBA  
Leakage current per gate  
Dynamic current per gate  
0.145  
nA  
ICCOPA  
8.8  
nA/MHz Duty cycle = 20%  
10  
ATC18RHA  
4261G–AERO–02/11  
ATC18RHA  
I/O DC at 3.3V Characteristics  
Applicable over recommended operating temperature and voltage range unless otherwise noted.  
Symbol  
VDD  
Parameter  
Supply Voltage  
Min  
1.65  
3.0  
-1  
Typ  
1.8  
3.3  
Max  
1.95  
3.6  
1
Unit  
V
Test Conditions  
core  
IOs  
VCC  
Buffer Supply voltage  
Low Level Input Current  
Pull-up resistor  
V
µA  
µA  
µA  
µA  
µA  
µA  
µA  
IIL  
110  
-5  
220  
400  
5
Vin=Vss  
Pull-down resistor  
High Level Input Current  
Pull-up resistor  
-1  
1
IIH  
-5  
5
Vin=Vcc  
Pull-down resistor  
140  
-1  
320  
600  
1
High Impedance State  
Output Current  
Vin=Vcc or Vss  
no pull resistor  
IOZ  
VIL  
Low-Level Input Voltage  
High- Level Input Voltage  
Hysteresis  
-0.3  
2
0.8  
V
V
VIH  
Vcc+0.3  
Vhyst  
400  
mV  
µA  
Cold Sparing  
-1  
-1  
1
1
Vcc=Vss=0V  
Vin=0 to Vcc  
IICS  
leakage input current  
Cold Sparing  
µA  
V
Vcc=Vss=0V  
Vout=0 to Vcc  
IOCS  
VCSTH  
leakage output current  
Supply threshold of cold sparing  
buffers  
0.5  
0.4  
IICS < 4µA  
VOL  
VOH  
Low level output voltage  
High level output voltage  
V
V
IOL=2,4,8,12,16mA  
IOH=2,4,8,12,16mA  
vcc-0.4  
Output Short circuit current  
IOSN (nn=1)  
IOS (1)  
23  
23  
mA  
mA  
Vout=Vcc  
Vout=Vss  
IOSP (nn=1)  
(1) Supplied as a design limit but not guaranteed or tested. No more than one output may be  
shorted at a time for a maximum duration of 10 seconds.  
IOSmax = 23,46,92,138,184 mA for nn=1,2,4 ,6,8  
11  
4261G–AERO–02/11  
I/O DC at 2.5V Characteristics  
Applicable over recommended operating temperature and voltage range unless otherwise noted.  
Symbol  
VDD  
Parameter  
Supply Voltage  
Min  
1.65  
2.3  
-1  
Typ  
1.8  
2.5  
Max  
1.95  
2.7  
1
Unit  
V
Test Conditions  
core  
IOs  
VCC  
Buffer Supply voltage  
Low Level Input Current  
Pull-up resistor  
V
µA  
µA  
µA  
µA  
µA  
µA  
µA  
IIL  
60  
130  
260  
5
Vin=Vss  
Pull-down resistor  
High Level Input Current  
Pull-up resistor  
-5  
-1  
1
IIH  
-5  
5
Vin=Vcc  
Pull-down resistor  
75  
180  
360  
High Impedance State  
Output Current  
Vin=Vcc or Vss  
no pull resistor  
IOZ  
-1  
-0.3  
2
1
VIL  
Low-Level Input Voltage  
High- Level Input Voltage  
Hysteresis  
0.7  
V
V
VIH  
Vcc+0.3  
Vhyst  
350  
mV  
µA  
Cold Sparing  
-1  
-1  
1
1
Vcc=Vss=0V  
Vin=0 to Vcc  
IICS  
leakage input current  
Cold Sparing  
µA  
V
Vcc=Vss=0V  
Vout=0 to Vcc  
IOCS  
VCSTH  
leakage output current  
Supply threshold of cold sparing  
buffers  
0.5  
0.4  
IICS < 4µA  
VOL  
VOH  
Low level output voltage  
High level output voltage  
V
V
IOL=1.5,3,6,9,12mA  
IOH=1.5,3,6,9,12mA  
vcc-0.4  
Output Short circuit current  
IOSN (nn=1)  
IOS (1)  
14  
14  
mA  
mA  
Vout=Vcc  
Vout=Vss  
IOSP (nn=1)  
(1) Supplied as a design limit but not guaranteed or tested. No more than one output may be  
shorted at a time for a maximum duration of 10 seconds.  
IOSmax = 14,28,56,84,112 mA for nn=1,2,4 ,6,8  
12  
ATC18RHA  
4261G–AERO–02/11  
ATC18RHA  
PCI Characteristics  
DC specifications  
Applicable over recommended operating temperature and voltage range unless otherwise noted.  
Symbol  
VCC  
Parameter  
Buffer Supply voltage  
High Input Level  
Min  
3.0  
Typ  
Max  
3.6  
Unit  
V
Tests conditions  
3.3  
VIH  
0.5 Vcc  
-0.3  
16  
Vcc + 0.3  
0.3 Vcc  
V
VIL  
Low Input Level  
V
IOH  
High Level Current  
32  
32  
mA  
mA  
mA  
V
VOH=Vcc - 0.4V  
VOL=0.4V  
IOL  
Low Level Current  
16  
IOS (1)  
VCSTH  
Output Short Current  
Supply threshold of cold sparing buffers  
112  
184  
0.5  
VOH=0 VOL=Vcc  
IICS < 4µA  
(1) Supplied as a design limit but not guaranteed or tested. No more than one output may be shorted at a  
time for a maximum duration of 10 seconds.  
LVPECL Receiver characteristics  
DC specifications  
Applicable over recommended operating temperature and voltage range unless otherwise noted.  
Symbol  
VCC  
Parameter  
Min  
3.0  
2.3  
-10  
Typ  
3.3  
2.5  
Max  
3.6  
2.7  
10  
Unit  
V
Tests conditions  
Buffer Supply voltage  
Buffer Supply voltage  
Input Leakage  
VCC  
V
IIN  
µA  
mA  
µA  
mA  
µA  
ICCstat  
ICCstdby  
ICCstat  
ICCstdby  
Static Consumption(ien=0)  
Static Consumption(ien=1)  
Static Consumption(ien=0)  
Static Consumption(ien=1)  
2.5  
1.5  
4
VCC=3.3+/-0.3V  
VCC=3.3+/-0.3V  
VCC=2.5 +/- 0.25V  
VCC=2.5 +/- 0.25V  
10  
2.3  
5.8  
LVDS Reference characteristics  
DC specifications  
Applicable over recommended operating temperature and voltage range unless otherwise noted.  
Symbol  
VCC  
Parameter  
MIN  
3.0  
TYP  
3.3  
MAX  
3.6  
Unit  
V
Tests conditions  
Buffer Supply voltage  
Buffer Supply voltage  
Input Voltage  
VCC  
2.3  
2.5  
2.7  
V
Vref  
1.25 - 5%  
140  
1.25  
200  
260  
1.25 + 5%  
260  
V
Rpd  
Pull Down resistance  
kOhm  
µA  
µA  
µA  
µA  
VIN=1.25V  
ICCstat  
ICCsdby  
ICCstat  
ICCsdby  
Static Consumption (ien=”0”)  
Static Consumption (ien=”1”)  
Static Consumption (ien=”0”)  
Static Consumption (ien=”1”)  
320  
VCC=3.3+/-0.3V  
VCC=3.3+/-0.3V  
VCC=2.5 +/- 0.25V  
VCC=2.5 +/- 0.25V  
2
150  
184  
1.2  
13  
4261G–AERO–02/11  
LVDS Transmitter characteristics  
DC specifications  
Applicable over recommended operating temperature and voltage range unless otherwise noted.  
Symbol  
VCC  
Parameter  
MIN  
3.0  
TYP  
3.3  
MAX  
3.6  
Unit  
V
Tests conditions  
Buffer Supply voltage  
VCC  
Buffer Supply voltage  
2.3  
2.5  
2.7  
V
|VOD|  
Output Differential Voltage  
Output offset Voltage  
247  
1.125  
350  
1.25  
454  
1.375  
50  
mV  
V
Rload = 100 ohms  
Rload = 100 ohms  
Rload = 100 ohms  
VOS  
|VOD| (1)  
Change in |VOD|  
mV  
Change in VOS - steady state  
Change in VOS - dynamic state  
50  
|VOS| (1)  
mV  
Rload = 100 ohms  
150  
Drivers shorten to  
ground or VCC  
7
24  
12  
mA  
mA  
IOS  
Output short current  
Drivers shorten  
together  
4.5  
4
ICCstat  
ICCsdby  
ICCstat  
ICCsdby  
Static Consumption (ien=”0”)  
Static Consumption (ien=”1”)  
Static Consumption (ien=”0”)  
Static Consumption (ien=”1”)  
6
mA  
µA  
VCC=3.3+/-0.3V  
VCC=3.3+/-0.3V  
VCC=2.5 +/- 0.25V  
VCC=2.5 +/- 0.25V  
10  
3.5  
5.8  
2.3  
mA  
µA  
(1) Supplied as a design limit but not guaranteed or tested. No more than one output may be shorted at a  
time for a maximum duration of 10 seconds.  
LVDS Receiver characteristics  
DC specifications  
Applicable over recommended operating temperature and voltage range unless otherwise noted.  
Symbol  
VCC  
Parameter  
MIN  
3.0  
TYP  
3.3  
MAX  
3.6  
2.7  
600  
2.35  
10  
Unit  
V
Tests conditions  
Buffer Supply voltage  
VCC  
Buffer Supply voltage  
2.3  
2.5  
V
VID  
Input Differential Voltage  
Common Mode Input Voltage  
Input Leakage  
200  
0.05  
-10  
mV  
V
VCM  
IIN  
µA  
mA  
µA  
mA  
µA  
ICCstat  
ICCsdby  
ICCstat  
ICCsdby  
Static Consumption (ien=”0”)  
Static Consumption (ien=”1”)  
Static Consumption (ien=”0”)  
Static Consumption (ien=”1”)  
3.5  
2
6
VCC=3.3+/-0.3V  
VCC=3.3+/-0.3V  
VCC=2.5 +/- 0.25V  
VCC=2.5 +/- 0.25V  
10  
3.5  
5.8  
14  
ATC18RHA  
4261G–AERO–02/11  
ATC18RHA  
Document Revision History  
Changes from Rev.  
4261B-06/05 to 4261C-  
04/06  
1. Added double pad ring configuration  
2. Clarification of paragraphs concerning ESD and array organization  
3. Tools update (moved from Hyperextract to Star-RCXT)  
Changes from Rev.  
4261C-04/06 to 4261D-  
07/07  
1. Remove 1.8V I/O offering  
Changes from Rev.  
4261D-07/07 to 4261E-  
10/07  
1. Added I/O33 supplied at 2.5V offering and LVDS/LVPECL 2.5V  
1. Added note to MCGA625 product offering  
Changes from Rev.  
4261E-10/07 to 4261F-  
08/08  
Changes from Rev.  
4261F-08/08 to 4261G-  
02/11  
1. Removed informations already included in the ‘ATC18RHA design manual’  
2. Added MLGA packages up to 625 lands - removed MCGA packages  
3. Added ATC18RHA95_544 die  
4. Added a note on dual voltage on periphery - page 2  
5. Corrections on LVDS data  
6. Typo: For core, supply is Vdd and for buffers is Vcc  
7. Add radiation tolerance paragraph  
15  
4261G–AERO–02/11  
Headquarters  
International  
Atmel Corporation  
2325 Orchard Parkway  
San Jose, CA 95131  
USA  
Atmel Asia  
Room 1219  
Atmel Europe  
Le Krebs  
Atmel Japan  
9F, Tonetsu Shinkawa Bldg.  
1-24-8 Shinkawa  
Chinachem Golden Plaza  
77 Mody Road Tsimshatsui  
East Kowloon  
8, Rue Jean-Pierre Timbaud  
BP 309  
Chuo-ku, Tokyo 104-0033  
Japan  
Tel: 1(408) 441-0311  
Fax: 1(408) 487-2600  
78054 Saint-Quentin-en-  
Yvelines Cedex  
France  
Hong Kong  
Tel: (81) 3-3523-3551  
Fax: (81) 3-3523-7581  
Tel: (852) 2721-9778  
Fax: (852) 2722-1369  
Tel: (33) 1-30-60-70-00  
Fax: (33) 1-30-60-71-11  
Product Contact  
Web Site  
www.atmel.com  
Technical Support  
Enter Product Line E-mail  
Sales Contact  
www.atmel.com/contacts  
Literature Requests  
www.atmel.com/literature  
Disclaimer: The information in this document is provided in connection with Atmel products. No license, express or implied, by estoppel or otherwise, to any  
intellectual property right is granted by this document or in connection with the sale of Atmel products. EXCEPT AS SET FORTH IN ATMEL’S TERMS AND CONDI-  
TIONS OF SALE LOCATED ON ATMEL’S WEB SITE, ATMEL ASSUMES NO LIABILITY WHATSOEVER AND DISCLAIMS ANY EXPRESS, IMPLIED OR STATUTORY  
WARRANTY RELATING TO ITS PRODUCTS INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTY OF MERCHANTABILITY, FITNESS FOR A PARTICULAR  
PURPOSE, OR NON-INFRINGEMENT. IN NO EVENT SHALL ATMEL BE LIABLE FOR ANY DIRECT, INDIRECT, CONSEQUENTIAL, PUNITIVE, SPECIAL OR INCIDEN-  
TAL DAMAGES (INCLUDING, WITHOUT LIMITATION, DAMAGES FOR LOSS OF PROFITS, BUSINESS INTERRUPTION, OR LOSS OF INFORMATION) ARISING OUT  
OF THE USE OR INABILITY TO USE THIS DOCUMENT, EVEN IF ATMEL HAS BEEN ADVISED OF THE POSSIBILITY OF SUCH DAMAGES. Atmel makes no  
representations or warranties with respect to the accuracy or completeness of the contents of this document and reserves the right to make changes to specifications  
and product descriptions at any time without notice. Atmel does not make any commitment to update the information contained herein. Unless specifically provided  
otherwise, Atmel products are not suitable for, and shall not be used in, automotive applications. Atmel’s products are not intended, authorized, or warranted for use  
as components in applications intended to support or sustain life.  
4261G–AERO–02/11  

相关型号:

ATC18RHA95-216KW

Consumer IC
MICROCHIP

ATC18RHA95-216KZ

Consumer IC,
MICROCHIP

ATC18RHA95-3242E

Consumer IC,
MICROCHIP

ATC18RHA95-3242H

Consumer IC,
MICROCHIP

ATC18RHA95-324K8

Consumer IC,
MICROCHIP

ATC18RHA95-324K9

Consumer IC,
MICROCHIP

ATC18RHA95-324KA

Consumer IC,
MICROCHIP

ATC18RHA95-324KW

Consumer IC,
MICROCHIP

ATC18RHA95-324KZ

Consumer IC
MICROCHIP

ATC18RHA95-324YC

Consumer IC,
MICROCHIP

ATC18RHA95-324YF

Consumer IC,
MICROCHIP

ATC18RHA95-4042C

Consumer IC
MICROCHIP