MC68HC11F1_13 [FREESCALE]

Technical Data; 技术参数
MC68HC11F1_13
型号: MC68HC11F1_13
厂家: Freescale    Freescale
描述:

Technical Data
技术参数

文件: 总158页 (文件大小:1005K)
中文:  中文翻译
下载:  下载PDF数据表文档文件
Freescale Semiconductor  
HC11  
MC68HC11F1  
Technical Data  
© Freescale Semiconductor, Inc., 2004. All rights reserved.  
Freescale Semiconductor, Inc.  
Home Page:  
www.freescale.com  
email:  
support@freescale.com  
USA/Europe or Locations Not Listed:  
Freescale Semiconductor  
Technical Information Center, CH370  
1300 N. Alma School Road  
Chandler, Arizona 85224  
(800) 521-6274  
RoHS-compliant and/or Pb- free versions of Freescale products have the functionality  
and electrical characteristics of their non-RoHS-compliant and/or non-Pb- free  
counterparts. For further information, see http://www.freescale.com or contact your  
Freescale sales representative.  
For information on Freescale.s Environmental Products program, go to  
http://www.freescale.com/epp.  
480-768-2130  
support@freescale.com  
Europe, Middle East, and Africa:  
Freescale Halbleiter Deutschland GmbH  
Technical Information Center  
Schatzbogen 7  
81829 Muenchen, Germany  
+44 1296 380 456 (English)  
+46 8 52200080 (English)  
+49 89 92103 559 (German)  
+33 1 69 35 48 48 (French)  
support@freescale.com  
Japan:  
Freescale Semiconductor Japan Ltd.  
Headquarters  
ARCO Tower 15F  
Information in this document is provided solely to enable system and software  
implementers to use Freescale Semiconductor products. There are no express or  
implied copyright licenses granted hereunder to design or fabricate any integrated  
circuits or integrated circuits based on the information in this document.  
1-8-1, Shimo-Meguro, Meguro-ku  
Tokyo 153-0064, Japan  
0120 191014  
+81 2666 8080  
Freescale Semiconductor reserves the right to make changes without further notice to  
any products herein. Freescale Semiconductor makes no warranty, representation or  
guarantee regarding the suitability of its products for any particular purpose, nor does  
Freescale Semiconductor assume any liability arising out of the application or use of  
any product or circuit, and specifically disclaims any and all liability, including without  
limitation consequential or incidental damages. “Typical” parameters which may be  
provided in Freescale Semiconductor data sheets and/or specifications can and do  
vary in different applications and actual performance may vary over time. All operating  
parameters, including “Typicals” must be validated for each customer application by  
customer’s technical experts. Freescale Semiconductor does not convey any license  
under its patent rights nor the rights of others. Freescale Semiconductor products are  
not designed, intended, or authorized for use as components in systems intended for  
surgical implant into the body, or other applications intended to support or sustain life,  
or for any other application in which the failure of the Freescale Semiconductor product  
could create a situation where personal injury or death may occur. Should Buyer  
purchase or use Freescale Semiconductor products for any such unintended or  
unauthorized application, Buyer shall indemnify and hold Freescale Semiconductor  
and its officers, employees, subsidiaries, affiliates, and distributors harmless against all  
claims, costs, damages, and expenses, and reasonable attorney fees arising out of,  
directly or indirectly, any claim of personal injury or death associated with such  
unintended or unauthorized use, even if such claim alleges that Freescale  
support.japan@freescale.com  
Asia/Pacific:  
Freescale Semiconductor Hong Kong Ltd.  
Technical Information Center  
2 Dai King Street  
Tai Po Industrial Estate,  
Tai Po, N.T., Hong Kong  
+800 2666 8080  
support.asia@freescale.com  
For Literature Requests Only:  
Freescale Semiconductor  
Literature Distribution Center  
P.O. Box 5405  
Denver, Colorado 80217  
(800) 441-2447  
303-675-2140  
Fax: 303-675-2150  
LDCForFreescaleSemiconductor  
@hibbertgroup.com  
Semiconductor was negligent regarding the design or manufacture of the part.  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
TABLE OF CONTENTS  
Paragraph  
Title  
Page  
SECTION 1INTRODUCTION  
1.1  
Features ....................................................................................................1-1  
SECTION 2 PIN DESCRIPTIONS  
2.1  
V
and V ..............................................................................................2-2  
DD SS  
2.2  
2.3  
2.4  
2.5  
2.6  
2.7  
Reset (RESET) ..........................................................................................2-3  
E-Clock Output (E) ....................................................................................2-3  
Crystal Driver and External Clock Input (XTAL, EXTAL) ...........................2-3  
Four Times E-Clock Frequency Output (4XOUT) .....................................2-5  
Interrupt Request (IRQ) .............................................................................2-5  
Non-Maskable Interrupt (XIRQ) .................................................................2-5  
2.8  
MODA and MODB (MODA/LIR and MODB/V  
) ..................................2-6  
STBY  
2.9  
V
and V ..............................................................................................2-6  
RH RL  
2.10  
2.11  
2.11.1  
2.11.2  
2.11.3  
2.11.4  
2.11.5  
2.11.6  
2.11.7  
R/W ...........................................................................................................2-6  
Port Signals ...............................................................................................2-6  
Port A ................................................................................................2-7  
Port B ................................................................................................2-8  
Port C ................................................................................................2-8  
Port D ................................................................................................2-8  
Port E ................................................................................................2-9  
Port F .................................................................................................2-9  
Port G ................................................................................................2-9  
SECTION 3 CENTRAL PROCESSING UNIT  
3.1  
3.1.1  
3.1.2  
3.1.3  
3.1.4  
3.1.5  
3.1.6  
3.1.6.1  
3.1.6.2  
3.1.6.3  
3.1.6.4  
3.1.6.5  
3.1.6.6  
3.1.6.7  
3.1.6.8  
CPU Registers ...........................................................................................3-1  
Accumulators A, B, and D .................................................................3-2  
Index Register X (IX) .........................................................................3-3  
Index Register Y (IY) .........................................................................3-3  
Stack Pointer (SP) .............................................................................3-3  
Program Counter (PC) ......................................................................3-5  
Condition Code Register (CCR) ........................................................3-5  
Carry/Borrow (C) .......................................................................3-5  
Overflow (V) ..............................................................................3-5  
Zero (Z) .....................................................................................3-6  
Negative (N) ..............................................................................3-6  
Interrupt Mask (I) .......................................................................3-6  
Half Carry (H) ............................................................................3-6  
X Interrupt Mask (X) ..................................................................3-6  
Stop Disable (S) ........................................................................3-7  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
TABLE OF CONTENTS  
(Continued)  
Paragraph  
Title  
Page  
3.2  
3.3  
3.4  
3.4.1  
3.4.2  
3.4.3  
3.4.4  
3.4.5  
3.4.6  
3.5  
Data Types ................................................................................................3-7  
Opcodes and Operands ............................................................................3-7  
Addressing Modes .....................................................................................3-7  
Immediate ..........................................................................................3-7  
Direct .................................................................................................3-8  
Extended ...........................................................................................3-8  
Indexed ..............................................................................................3-8  
Inherent .............................................................................................3-8  
Relative .............................................................................................3-8  
Instruction Set ...........................................................................................3-8  
SECTION 4OPERATING MODES AND ON-CHIP MEMORY  
4.1  
4.1.1  
4.1.2  
4.1.3  
4.1.4  
4.2  
4.2.1  
4.2.2  
4.2.2.1  
4.2.2.2  
4.2.2.3  
4.2.3  
4.3  
4.3.1  
Operating Modes .......................................................................................4-1  
Single-Chip Operating Mode .............................................................4-1  
Expanded Operating Mode ...............................................................4-1  
Special Test Mode .............................................................................4-1  
Special Bootstrap Mode ....................................................................4-1  
On-Chip Memory .......................................................................................4-2  
Mapping Allocations ..........................................................................4-2  
Memory Map .....................................................................................4-3  
RAM ..........................................................................................4-3  
Bootloader ROM .......................................................................4-4  
EEPROM ...................................................................................4-4  
Registers ...........................................................................................4-4  
System Initialization ...................................................................................4-6  
Mode Selection ..................................................................................4-7  
HPRIO Register ........................................................................4-8  
Initialization ........................................................................................4-9  
CONFIG Register ......................................................................4-9  
INIT Register ...........................................................................4-10  
OPTION Register ....................................................................4-11  
OPT2 Register ........................................................................4-12  
Block Protect Register (BPROT) .............................................4-13  
EEPROM and CONFIG Register ............................................................4-14  
EEPROM .........................................................................................4-14  
EEPROM Programming ..........................................................4-14  
EEPROM Bulk Erase ..............................................................4-15  
EEPROM Row Erase ..............................................................4-15  
EEPROM Byte Erase ..............................................................4-16  
PPROG EEPROM Programming Control Register .........................4-16  
CONFIG Register Programming .....................................................4-17  
4.3.1.1  
4.3.2  
4.3.2.1  
4.3.2.2  
4.3.2.3  
4.3.2.4  
4.3.2.5  
4.4  
4.4.1  
4.4.1.1  
4.4.1.2  
4.4.1.3  
4.4.1.4  
4.4.2  
4.4.3  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
TABLE OF CONTENTS  
(Continued)  
Paragraph  
Title  
Page  
4.5  
Chip Selects ............................................................................................4-18  
Program Chip Select .......................................................................4-18  
I/O Chip Selects ..............................................................................4-18  
General-Purpose Chip Select ..........................................................4-19  
4.5.1  
4.5.2  
4.5.3  
SECTION 5 RESETS AND INTERRUPTS  
5.1  
Resets .......................................................................................................5-1  
Power-On Reset ................................................................................5-1  
External Reset (RESET) ...................................................................5-1  
Computer Operating Properly (COP) Reset ......................................5-2  
Clock Monitor Reset ..........................................................................5-2  
OPTION Register ..............................................................................5-3  
CONFIG Register ..............................................................................5-4  
Effects of Reset .........................................................................................5-4  
Central Processing Unit .....................................................................5-5  
Memory Map .....................................................................................5-5  
Parallel I/O .........................................................................................5-5  
Timer .................................................................................................5-5  
Real-Time Interrupt (RTI) ..................................................................5-5  
Pulse Accumulator ............................................................................5-6  
Computer Operating Properly (COP) ................................................5-6  
Serial Communications Interface (SCI) .............................................5-6  
Serial Peripheral Interface (SPI) ........................................................5-6  
Analog-to-Digital Converter ...............................................................5-6  
System ..............................................................................................5-6  
Reset and Interrupt Priority .......................................................................5-6  
Highest Priority Interrupt and Miscellaneous Register ......................5-7  
Interrupts ...................................................................................................5-8  
Interrupt Recognition and Register Stacking .....................................5-9  
Non-Maskable Interrupt Request (XIRQ) ........................................5-10  
Illegal Opcode Trap .........................................................................5-10  
Software Interrupt ............................................................................5-11  
Maskable Interrupts .........................................................................5-11  
Reset and Interrupt Processing .......................................................5-11  
Low Power Operation ..............................................................................5-16  
WAIT ...............................................................................................5-17  
STOP ...............................................................................................5-17  
5.1.1  
5.1.2  
5.1.3  
5.1.4  
5.1.5  
5.1.6  
5.2  
5.2.1  
5.2.2  
5.2.3  
5.2.4  
5.2.5  
5.2.6  
5.2.7  
5.2.8  
5.2.9  
5.2.10  
5.2.11  
5.3  
5.3.1  
5.4  
5.4.1  
5.4.2  
5.4.3  
5.4.4  
5.4.5  
5.4.6  
5.5  
5.5.1  
5.5.2  
SECTION 6 PARALLEL INPUT/OUTPUT  
6.1  
Port A ........................................................................................................6-1  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
TABLE OF CONTENTS  
(Continued)  
Paragraph  
Title  
Page  
6.2  
6.3  
6.4  
6.5  
6.6  
6.7  
6.8  
Port B ........................................................................................................6-2  
Port C ........................................................................................................6-2  
Port D ........................................................................................................6-3  
Port E ........................................................................................................6-4  
Port F .........................................................................................................6-4  
Port G ........................................................................................................6-5  
System Configuration Options 2 ................................................................6-5  
SECTION 7 SERIAL COMMUNICATIONS INTERFACE  
7.1  
7.2  
7.3  
7.4  
7.4.1  
7.4.2  
7.5  
Data Format ..............................................................................................7-1  
Transmit Operation ....................................................................................7-1  
Receive Operation .....................................................................................7-2  
Wakeup Feature ........................................................................................7-4  
Idle-Line Wakeup ..............................................................................7-4  
Address-Mark Wakeup ......................................................................7-4  
SCI Error Detection ...................................................................................7-5  
SCI Registers ............................................................................................7-5  
Serial Communications Data Register ..............................................7-5  
Serial Communications Control Register 1 .......................................7-5  
Serial Communications Control Register 2 .......................................7-6  
Serial Communication Status Register ..............................................7-7  
Baud Rate Register ...........................................................................7-8  
Status Flags and Interrupts .....................................................................7-10  
Receiver Flags ................................................................................7-11  
7.6  
7.6.1  
7.6.2  
7.6.3  
7.6.4  
7.6.5  
7.7  
7.7.1  
SECTION 8 SERIAL PERIPHERAL INTERFACE  
8.1  
8.2  
8.2.1  
8.3  
8.3.1  
8.3.2  
8.3.3  
8.3.4  
8.4  
Functional Description ...............................................................................8-1  
SPI Transfer Formats ................................................................................8-2  
Clock Phase and Polarity Controls ....................................................8-3  
SPI Signals ................................................................................................8-3  
Master In Slave Out ...........................................................................8-4  
Master Out Slave In ...........................................................................8-4  
Serial Clock .......................................................................................8-4  
Slave Select ......................................................................................8-4  
SPI System Errors .....................................................................................8-4  
SPI Registers ............................................................................................8-5  
Serial Peripheral Control ...................................................................8-5  
Serial Peripheral Status .....................................................................8-7  
Serial Peripheral Data Register .........................................................8-7  
8.5  
8.5.1  
8.5.2  
8.5.3  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
TABLE OF CONTENTS  
(Continued)  
Paragraph  
Title  
Page  
SECTION 9 TIMING SYSTEM  
9.1  
9.2  
9.2.1  
9.2.2  
9.2.3  
9.3  
9.3.1  
9.3.2  
9.3.3  
9.3.4  
9.3.5  
9.3.6  
9.3.7  
9.3.8  
9.3.9  
9.3.10  
9.4  
Timer Structure ..........................................................................................9-3  
Input Capture .............................................................................................9-5  
Timer Control Register 2 ...................................................................9-5  
Timer Input Capture Registers ..........................................................9-6  
Timer Input Capture 4/Output Compare 5 Register ..........................9-6  
Output Compare ........................................................................................9-6  
Timer Output Compare Registers .....................................................9-7  
Timer Compare Force Register .........................................................9-8  
Output Compare Mask Registers ......................................................9-8  
Output Compare Data Register .........................................................9-9  
Timer Counter Register .....................................................................9-9  
Timer Control Register 1 ...................................................................9-9  
Timer Interrupt Mask Register 1 ......................................................9-10  
Timer Interrupt Flag Register 1 .......................................................9-11  
Timer Interrupt Mask Register 2 ......................................................9-11  
Timer Interrupt Flag Register 2 .......................................................9-12  
Real-Time Interrupt .................................................................................9-12  
Timer Interrupt Mask Register 2 ......................................................9-13  
Timer Interrupt Flag Register 2 .......................................................9-14  
Pulse Accumulator Control Register ...............................................9-14  
Computer Operating Properly Watchdog Function .................................9-15  
Pulse Accumulator ..................................................................................9-15  
Pulse Accumulator Control Register ...............................................9-16  
Pulse Accumulator Count Register .................................................9-17  
Pulse Accumulator Status and Interrupt Bits ...................................9-18  
9.4.1  
9.4.2  
9.4.3  
9.5  
9.6  
9.6.1  
9.6.2  
9.6.3  
SECTION 10 ANALOG-TO-DIGITAL CONVERTER  
10.1  
Overview .................................................................................................10-1  
Multiplexer .......................................................................................10-1  
Analog Converter ............................................................................10-3  
Digital Control ..................................................................................10-3  
Result Registers ..............................................................................10-3  
A/D Converter Clocks ......................................................................10-4  
Conversion Sequence .....................................................................10-4  
A/D Converter Power-Up and Clock Select .............................................10-5  
Conversion Process ................................................................................10-5  
Channel Assignments .............................................................................10-6  
Single-Channel Operation .......................................................................10-6  
Multiple-Channel Operation .....................................................................10-6  
10.1.1  
10.1.2  
10.1.3  
10.1.4  
10.1.5  
10.1.6  
10.2  
10.3  
10.4  
10.5  
10.6  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
TABLE OF CONTENTS  
(Continued)  
Paragraph  
Title  
Page  
10.7  
10.8  
10.9  
Operation in STOP and WAIT Modes ....................................................10-7  
A/D Control/Status Registers ..................................................................10-7  
A/D Converter Result Registers ..............................................................10-8  
APPENDIX A ELECTRICAL CHARACTERISTICS  
APPENDIX BMECHANICAL DATA AND ORDERING INFORMATION  
B.1  
B.2  
B.3  
Pin Assignments ....................................................................................... B-1  
Package Dimensions ................................................................................ B-2  
Ordering Information ................................................................................ B-3  
APPENDIX CDEVELOPMENT SUPPORT  
C.1  
C.2  
C.3  
MC68HC11F1 Development Tools .......................................................... C-1  
MC68HC11EVS — Evaluation System .................................................... C-1  
M68MMDS11 — Modular Development System for M68HC11 Devices . C-1  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
LIST OF ILLUSTRATIONS  
Figure  
Title  
Page  
1-1  
2-1  
2-2  
2-3  
2-4  
2-5  
2-6  
2-7  
3-1  
3-2  
4-1  
4-2  
4-3  
4-4  
5-1  
5-2  
5-3  
5-4  
5-5  
7-1  
7-2  
7-3  
7-4  
8-1  
8-2  
9-1  
9-2  
9-3  
10-1  
10-2  
10-3  
A-1  
A-2  
A-3  
A-4  
A-5  
A-6  
A-7  
A-8  
A-9  
A-10  
MC68HC11F1 Block Diagram ........................................................................ 1-2  
Pin Assignments for MC68HC11F1 68-Pin PLCC ......................................... 2-1  
Pin Assignments for MC68HC11F1 80-Pin QFP ............................................ 2-2  
External Reset Circuit ..................................................................................... 2-3  
Common Crystal Connections ........................................................................ 2-4  
External Oscillator Connections ..................................................................... 2-4  
One Crystal Driving Two MCUs ..................................................................... 2-4  
4XOUT Signal Driving a Second MCU ........................................................... 2-5  
Programming Model ....................................................................................... 3-2  
Stacking Operations ....................................................................................... 3-4  
MC68HC11F1 Memory Map .......................................................................... 4-3  
RAM Standby MODB/V  
Connections ..................................................... 4-4  
STBY  
Address Map for I/O and Program Chip Selects .......................................... 4-19  
Address Map for General-Purpose Chip Select ........................................... 4-20  
Processing Flow Out of Reset (1 of 2) ......................................................... 5-12  
Processing Flow Out of Reset (2 of 2) ......................................................... 5-13  
Interrupt Priority Resolution (1 of 2) ............................................................. 5-14  
Interrupt Priority Resolution (2 of 2) ............................................................. 5-15  
Interrupt Source Resolution Within SCI ........................................................ 5-16  
SCI Transmitter Block Diagram ...................................................................... 7-2  
SCI Receiver Block Diagram .......................................................................... 7-3  
SCI Baud Rate Generator Block Diagram .................................................... 7-10  
Interrupt Source Resolution Within SCI ........................................................ 7-12  
SPI Block Diagram ......................................................................................... 8-2  
SPI Transfer Format ....................................................................................... 8-3  
Timer Clock Divider Chains ............................................................................ 9-2  
Capture/Compare Block Diagram .................................................................. 9-4  
Pulse Accumulator ....................................................................................... 9-16  
A/D Converter Block Diagram ...................................................................... 10-2  
Electrical Model of an A/D Input Pin (Sample Mode) ................................... 10-3  
A/D Conversion Sequence ........................................................................... 10-4  
Test Methods ..................................................................................................A-4  
Timer Inputs ...................................................................................................A-5  
POR External Reset Timing Diagram .............................................................A-6  
STOP Recovery Timing Diagram ...................................................................A-7  
WAIT Recovery from Interrupt Timing Diagram .............................................A-8  
Interrupt Timing Diagram ................................................................................A-9  
Port Read Timing Diagram ...........................................................................A-10  
Port Write Timing Diagram ...........................................................................A-10  
Expansion Bus Timing ..................................................................................A-13  
SPI Master Timing (CPHA = 0) ....................................................................A-15  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
LIST OF ILLUSTRATIONS  
(Continued)  
Figure  
Title  
Page  
A-11  
A-12  
A-13  
B-1  
SPI Master Timing (CPHA = 1) ....................................................................A-15  
SPI Slave Timing (CPHA = 0) ......................................................................A-16  
SPI Slave Timing (CPHA = 1) ......................................................................A-16  
MC68HC11F1 68-Pin PLCC ..........................................................................B-1  
MC68HC11F1 80-Pin Quad Flat Pack ...........................................................B-2  
B-2  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
LIST OF TABLES  
Table  
Title  
Page  
2-1  
3-1  
3-2  
4-1  
4-2  
4-3  
4-4  
4-5  
4-6  
4-7  
4-8  
4-9  
4-10  
4-11  
4-12  
5-1  
5-2  
5-3  
5-4  
5-5  
6-1  
7-1  
7-2  
8-1  
9-1  
9-2  
9-3  
9-4  
9-5  
9-6  
10-1  
10-2  
A-1  
A-2  
A-3  
A-4  
A-5  
A-6  
A-7  
A-8  
A-9  
Port Signal Functions ...................................................................................... 2-7  
Reset Vector Comparison ............................................................................... 3-5  
Instruction Set ................................................................................................. 3-9  
Register and Control Bit Assignments............................................................. 4-5  
Write Access Limited Registers....................................................................... 4-7  
Hardware Mode Select Summary ................................................................... 4-7  
EEPROM Mapping........................................................................................ 4-10  
RAM and Register Mapping .......................................................................... 4-11  
EEPROM Block Protection............................................................................ 4-14  
EEPROM Erase Mode Control...................................................................... 4-17  
Chip Select Clock Stretch Control................................................................. 4-20  
Program Chip Select Size Control................................................................. 4-21  
General-Purpose Chip Select Starting Address............................................ 4-22  
General-Purpose Chip Select Size Control................................................... 4-22  
Chip Select Control Parameter Summary ..................................................... 4-23  
COP Timer Rate Selection.............................................................................. 5-2  
Reset Cause, Operating Mode, and Reset Vector.......................................... 5-4  
Highest Priority Interrupt Selection.................................................................. 5-8  
Interrupt and Reset Vector Assignments......................................................... 5-9  
Stacking Order on Entry to Interrupts............................................................ 5-10  
I/O Port Configuration...................................................................................... 6-1  
Baud Rate Prescaler Selection ....................................................................... 7-8  
Baud Rate Selection........................................................................................ 7-9  
SPI Clock Rates .............................................................................................. 8-6  
Timer Summary............................................................................................... 9-3  
Timer Output Compare Configuration ........................................................... 9-10  
Timer Prescaler Selection ............................................................................. 9-12  
RTI Rate Selection ........................................................................................ 9-13  
Pulse Accumulator Timing............................................................................. 9-16  
Pulse Accumulator Edge Detection Control .................................................. 9-17  
A/D Converter Channel Assignments............................................................ 10-6  
A/D Converter Channel Selection ................................................................. 10-8  
Maximum Ratings............................................................................................A-1  
Thermal Characteristics ..................................................................................A-2  
DC Electrical Characteristics...........................................................................A-3  
Control Timing.................................................................................................A-5  
Peripheral Port Timing...................................................................................A-10  
Analog-To-Digital Converter Characteristics.................................................A-11  
Expansion Bus Timing...................................................................................A-12  
Serial Peripheral Interface Timing.................................................................A-14  
EEPROM Characteristics..............................................................................A-17  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
LIST OF TABLES  
(Continued)  
Table  
Title  
Page  
B-1  
C-1  
Device Ordering Information ...........................................................................B-3  
MC68HC11F1 Development Tools .................................................................C-1  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
SECTION 1INTRODUCTION  
The MC68HC11F1 high-performance microcontroller unit (MCU) is an enhanced de-  
rivative of the M68HC11 family of microcontrollers and includes many advanced fea-  
tures. This MCU, with a nonmultiplexed expanded bus, is characterized by high speed  
and low power consumption. The fully static design allows operation at frequencies  
from 4 MHz to dc.  
1.1 Features  
• M68HC11 Central Processing Unit (CPU)  
• Power Saving STOP and WAIT Modes  
• 512 Bytes Electrically Erasable Programmable Read-Only Memory (EEPROM)  
• 1024 Bytes RAM, Data Retained During Standby  
• Nonmultiplexed Address and Data Buses  
• Enhanced 16-Bit Timer  
• Three Input Capture (IC) Channels  
• Four Output Compare (OC) Channels  
• One Additional Channel, Selectable as Fourth IC or Fifth OC  
• 8-Bit Pulse Accumulator  
• Real-Time Interrupt Circuit  
• Computer Operating Properly (COP) Watchdog  
• Enhanced Asynchronous Nonreturn to Zero (NRZ) Serial Communications Inter-  
face (SCI)  
• Enhanced Synchronous Serial Peripheral Interface (SPI)  
• Eight-Channel 8-Bit Analog-to-Digital (A/D) Converter  
• Four Chip-Select Signal Outputs with Programmable Clock Stretching  
— Two I/O Chip Selects  
— One Program Chip Select  
— One General-Purpose Chip Select  
• Available in 68-Pin Plastic Leaded Chip Carrier (PLCC) and 80-Pin Plastic Quad  
Flat Pack (QFP)  
INTRODUCTION  
TECHNICAL DATA  
1-1  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
XTAL  
EXTAL  
IRQ  
XIRQ  
RESET  
INTERRUPT  
LOGIC  
E
MODA/  
LIR  
4XOUT  
MODE  
CONTROL  
MODB/  
STBY  
OSCILLATOR  
PULSE  
ACCUMULATOR  
COP  
PA7  
PAI/OC1  
V
CLOCK  
LOGIC  
A/D  
PA6  
PA5  
PA4  
PA3  
PA2  
PA1  
PA0  
OC2/OC1  
OC3/OC1  
OC4/OC1  
OC5/IC4/OC1  
IC1  
IC2  
IC3  
CONVERTER  
TIMER  
SYSTEM  
AN7  
AN6  
AN5  
AN4  
AN3  
AN2  
AN1  
AN0  
PE7  
PE6  
PE5  
PE4  
PE3  
PE2  
PE1  
PE0  
P O RT A  
P
PERIODIC  
INTERRUPT  
P
PB7  
PB6  
PB5  
PB4  
PB3  
PB2  
PB1  
PB0  
ADDR15  
ADDR14  
ADDR13  
ADDR12  
ADDR11  
ADDR10  
ADDR9  
V
V
V
RH  
RH  
V
RL  
RL  
V
DD  
ADDR8  
V
SS  
CHIP  
SELECTS  
PF7  
PF6  
PF5  
PF4  
PF3  
PF2  
PF1  
PF0  
ADDR7  
ADDR6  
ADDR5  
ADDR4  
ADDR3  
ADDR2  
ADDR1  
ADDR0  
CSPROG  
CSGEN  
CSIO1  
PG7  
PG6  
PG5  
PG4  
PG3  
PG2  
PG1  
PG0  
1024  
BYTES  
RAM  
512  
BYTES  
EEPROM  
CSIO2  
CPU  
PC7  
PC6  
PC5  
PC4  
PC3  
PC2  
PC1  
PC0  
DATA7  
DATA6  
DATA5  
DATA4  
DATA3  
DATA2  
DATA1  
DATA0  
SS  
SCK  
MOSI  
MISO  
PD5  
PD4  
PD3  
PD2  
SPI  
TxD  
SCI  
PD1  
PD0  
RxD  
R/W  
R/W  
Figure 1-1 MC68HC11F1 Block Diagram  
INTRODUCTION  
MC68HC11F1  
1-2  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
SECTION 2 PIN DESCRIPTIONS  
The MC68HC11F1 MCU is available in a 68-pin plastic leaded chip carrier (PLCC) and  
an 80-pin plastic quad flat pack (QFP). Most pins on this MCU serve two or more func-  
tions, as described in the following paragraphs. Figure 2-1 shows the pin assignments  
for the PLCC. Figure 2-2 shows the pin assignments for the QFP.  
S
S
                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                      H
                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                      L
PC1/DATA1  
PC2/DATA2  
PC3/DATA3  
PC4/DATA4  
PC5/DATA5  
PC6/DATA6  
PC7/DATA7  
RESET  
60  
59  
58  
57  
56  
55  
54  
53  
52  
PE4/AN4  
10  
11  
12  
13  
14  
15  
16  
17  
18  
19  
20  
21  
22  
23  
24  
25  
26  
1
PE0/AN0  
PF0/ADDR0  
PF1/ADDR1  
PF2/ADDR2  
PF3/ADDR3  
PF4/ADDR4  
PF5/ADDR5  
PF6/ADDR6  
XIRQ  
MC68HC11F1  
IRQ  
51 PF7/ADDR7  
PG7/CSPROG  
PG6/CSGEN  
PG5/CSIO1  
PG4/CSIO2  
PG3  
50  
49  
48  
47  
46  
45  
44  
PB0/ADDR8  
PB1/ADDR9  
PB2/ADDR10  
PB3/ADDR11  
PB4/ADDR12  
PB5/ADDR13  
PB6/ADDR14  
PG2  
PG1  
Figure 2-1 Pin Assignments for MC68HC11F1 68-Pin PLCC  
PIN DESCRIPTIONS  
TECHNICAL DATA  
2-1  
For More Information On This Product,  
Go to: www.freescale.com  
 
Freescale Semiconductor, Inc.  
D
P
P
P
                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                      A
                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                      A
                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                      A
                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                      A
                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                      A
V
N
N
P
P
P
                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                      D
                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                      D
P
P
                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                      G
                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                      C
                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                      4
8
7
7
7
7
7
                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                      3
                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                      2
                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                      1
                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                      0
                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                      9
                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                      8
                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                      7
6
                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                      5
                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                      3
                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                      2
                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                      1
                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                      4
NC  
NC  
1
60  
59  
58  
57  
56  
55  
54  
53  
52  
51  
50  
49  
48  
47  
46  
45  
44  
43  
42  
41  
NC  
2
PG1  
PB6/ADDR14  
PB5/ADDR13  
PB4/ADDR12  
PB3/ADDR11  
PB2/ADDR10  
PB1/ADDR9  
PB0/ADDR8  
PF7/ADDR7  
PF6/ADDR6  
PF5/ADDR5  
PF4/ADDR4  
PF3/ADDR3  
PF2/ADDR2  
PF1/ADDR1  
PF0/ADDR0  
PE0/AN0  
3
PG2  
4
PG3  
5
PG4/CSIO2  
PG5/CSIO1  
PG6/CSGEN  
PG7/CSPROG  
IRQ  
6
7
8
9
10  
11  
12  
13  
14  
15  
16  
17  
18  
19  
20  
XIRQ  
MC68HC11F1  
RESET  
PC7/DATA7  
PC6/DATA6  
PC5/DATA5  
PC4/DATA4  
PC3/DATA3  
PC2/DATA2  
PC1/DATA1  
NC  
PE4/AN4  
NC  
NC  
Figure 2-2 Pin Assignments for MC68HC11F1 80-Pin QFP  
2.1 V  
V
DD and SS  
Power is supplied to the MCU through V  
and V . V  
is the power supply, and  
DD  
SS DD  
V
SS  
is ground. The MCU operates from a single 5-volt (nominal) power supply. Very  
fast signal transitions occur on the MCU pins. The short rise and fall times place high,  
short duration current demands on the power supply. To prevent noise problems, pro-  
vide good power-supply bypassing at the MCU. Also, use bypass capacitors that have  
good high-frequency characteristics and situate them as close to the MCU as possible.  
Bypass requirements vary, depending on how heavily the MCU pins are loaded.  
PIN DESCRIPTIONS  
MC68HC11F1  
2-2  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
2.2 Reset (RESET)  
An active low bidirectional control signal, RESET, acts as an input to initialize the MCU  
to a known start-up state. It also acts as an open-drain output to indicate that an inter-  
nal failure has been detected in either the clock monitor or COP watchdog circuit. The  
CPU distinguishes between internal and external reset conditions by sensing whether  
the reset pin rises to a logic one in less than two E-clock cycles after a reset has oc-  
curred. It is not advisable to connect an external resistor-capacitor (RC) power-up de-  
lay circuit to the reset pin of M68HC11 devices because the circuit charge time  
constant can cause the device to misinterpret the type of reset that occurred. Refer to  
SECTION 5 RESETS AND INTERRUPTS for further information.  
Figure 2-3 illustrates a reset circuit that uses an external switch. Other circuits can be  
used, however, it is important to incorporate a low voltage interrupt (LVI) circuit to pre-  
vent operation at insufficient voltage levels which could result in erratic behavior or cor-  
ruption of RAM.  
V
DD  
V
DD  
V
DD  
MC34064  
2
4.7 kΩ  
IN  
1
MANUAL  
RESET SWITCH  
TO RESET  
OF M68HC11  
RESET  
4.7 kΩ  
4.7 kΩ  
GND  
3
MC34164  
2
1.0 µF  
IN  
RESET  
GND  
1
OPTIONAL POWER-ON DELAY  
AND MANUAL RESET SWITCH  
3
Figure 2-3 External Reset Circuit  
2.3 E-Clock Output (E)  
E is the output connection for the internally generated E clock. The signal from E is  
used as a timing reference. The frequency of the E-clock output is one fourth that of  
the input frequency at the EXTAL pin. When E-clock output is low, an internal process  
is taking place. When it is high, data is being accessed. All clocks, including the E  
clock, are halted when the MCU is in STOP mode. The E clock can be turned off in  
single-chip modes to reduce the effects of radio frequency interference (RFI). Refer to  
SECTION 9 TIMING SYSTEM.  
2.4 Crystal Driver and External Clock Input (XTAL, EXTAL)  
These two pins provide the interface for either a crystal or a CMOS-compatible clock  
to control the internal clock generator circuitry. Either a crystal oscillator or a CMOS  
compatible clock can be used. The resulting E-clock rate is the input frequency divided  
by four.  
PIN DESCRIPTIONS  
TECHNICAL DATA  
2-3  
For More Information On This Product,  
Go to: www.freescale.com  
 
Freescale Semiconductor, Inc.  
The XTAL pin is normally left unterminated when an external CMOS compatible clock  
is connected to the EXTAL pin. However, a 10 kto 100 kload resistor connected  
from the XTAL output to ground can be used to reduce RFI noise emission.  
The XTAL output is normally used to drive a crystal. The XTAL output can be buffered  
with a high-impedance buffer, or it can be used to drive the EXTAL input of another  
M68HC11 device. Refer to Figure 2-6.  
In all cases, use caution when designing circuitry associated with the oscillator pins.  
Load capacitances shown in the oscillator circuits include all stray layout capacitanc-  
es. Refer to Figure 2-4, Figure 2-5, and Figure 2-6.  
25 pF*  
EXTAL  
4 x E  
CRYSTAL  
MCU  
10M  
XTAL  
25 pF*  
Values include all stray capacitances.  
*
Figure 2-4 Common Crystal Connections  
CMOS-COMPATIBLE  
EXTERNAL  
OSCILLATOR  
EXTAL  
XTAL  
MCU  
NC OR  
10 k – 100 k  
LOAD  
Figure 2-5 External Oscillator Connections  
25 pF*  
220  
EXTAL  
XTAL  
EXTAL  
XTAL  
FIRST  
MCU  
SECOND  
MCU  
4 x E  
10M  
CRYSTAL  
NC OR  
10 k – 100 k  
LOAD  
25 pF*  
Values include all stray capacitances.  
*
Figure 2-6 One Crystal Driving Two MCUs  
PIN DESCRIPTIONS  
MC68HC11F1  
TECHNICAL DATA  
2-4  
For More Information On This Product,  
Go to: www.freescale.com  
 
 
 
Freescale Semiconductor, Inc.  
2.5 Four Times E-Clock Frequency Output (4XOUT)  
Although the circuit shown in Figure 2-6 will work for any M68HC11 MCU, the  
MC68HC11F1 has an additional clock output that is four times the E-clock frequency.  
This output (4XOUT) can be used to directly drive the EXTAL input of another  
M68HC11 MCU. Refer to Figure 2-7. The 4XOUT output is enabled after reset and  
can be disabled by clearing the CLK4X bit in the OPT2 register.  
4XOUT  
EXTAL  
XTAL  
EXTAL  
XTAL  
MC68HC11F1  
NC OR  
10 k – 100 k  
LOAD  
SECOND  
MCU  
OSCILLATOR  
CIRCUIT OR  
CMOS-COMPATIBLE  
CLOCK  
Figure 2-7 4XOUT Signal Driving a Second MCU  
2.6 Interrupt Request (IRQ)  
The IRQ input provides a means of generating asynchronous interrupt requests for the  
CPU. Either falling-edge triggering or low-level triggering is selected by the IRQE bit  
in the OPTION register. IRQ is always configured for level-sensitive triggering at reset.  
Connect an external pull-up resistor, typically 4.7 k, to V  
when IRQ is used in a  
DD  
level-sensitive wired-OR configuration. Refer to SECTION 5 RESETS AND INTER-  
RUPTS.  
2.7 Non-Maskable Interrupt (XIRQ)  
The XIRQ input provides a means of requesting a non-maskable interrupt after reset  
initialization. During reset, the X bit in the condition code register (CCR) is set and any  
interrupt is masked until MCU software enables it. Because the XIRQ input is level  
sensitive, it can be connected to a multiple-source wired-OR network with an external  
pull-up resistor to V . XIRQ is often used as a power loss detect interrupt.  
DD  
Whenever XIRQ or IRQ are used with multiple interrupt sources (IRQ must be config-  
ured for level-sensitive operation if there is more than one source of IRQ interrupt),  
each source must drive the interrupt input with an open-drain type of driver to avoid  
contention between outputs. There should be a single pull-up resistor near the MCU  
interrupt input pin (typically 4.7 k). There must also be an interlock mechanism at  
each interrupt source so that the source holds the interrupt line low until the MCU rec-  
ognizes and acknowledges the interrupt request. If one or more interrupt sources are  
still pending after the MCU services a request, the interrupt line will still be held low  
and the MCU will be interrupted again as soon as the interrupt mask bit in the condition  
code register (CCR) is cleared (normally upon return from an interrupt). Refer to SEC-  
TION 5 RESETS AND INTERRUPTS.  
PIN DESCRIPTIONS  
TECHNICAL DATA  
2-5  
For More Information On This Product,  
Go to: www.freescale.com  
 
Freescale Semiconductor, Inc.  
2.8 MODA and MODB (MODA/LIR and MODB/V  
)
STBY  
During reset, MODA and MODB select one of the four operating modes. Refer to SEC-  
TION 4 OPERATING MODES AND ON-CHIP MEMORY.  
After the operating mode has been selected, the LIR pin provides an open-drain output  
to indicate that execution of an instruction has begun. The LIR pin is configured for  
wired-OR operation (only pulls low). A series of E-clock cycles occurs during execution  
of each instruction. The LIR signal is asserted (drives low) during the first E-clock cycle  
of each instruction (opcode fetch). This output is provided for assistance in program  
debugging.  
The V  
pin is used to input RAM standby power. The MCU is powered from the  
STBY  
V
signal unless the difference between the level of V and V is greater than  
STBY dd  
DD  
one MOS threshold (about 0.7 volts). When these voltages differ by more than 0.7  
volts, the internal 768-byte RAM and part of the reset logic are powered from V  
STBY  
power applied  
rather than V . This allows RAM contents to be retained without V  
DD  
DD  
to the MCU. Reset must be driven low before V  
is removed and must remain low  
DD  
until V  
has been restored to a valid level.  
DD  
2.9 V  
and V  
RL  
RH  
These pins provide the reference voltage for the analog-to-digital converter. Bypass  
capacitors should be used to minimize noise on these signals. Any noise on V and  
RH  
V
RL  
will directly affect A/D accuracy.  
2.10 R/W  
In expanded and test modes, R/W indicates the direction of transfers on the external  
data bus. A logic level one on this pin indicates that a read cycle is in progress. A logic  
zero on this pin indicates that a write cycle is in progress and that no external device  
should drive the data bus.  
The E-clock can be used to enable external devices to drive data onto the data bus  
during the second half of a read bus cycle (E clock high). R/W can then be used to  
control the direction of data transfers. R/W drives low when data is being written to the  
external data bus. R/W will remain low during consecutive data bus write cycles, such  
as when a double-byte store occurs.  
2.11 Port Signals  
For the MC68HC11F1, 54 pins are arranged into six 8-bit ports: A, B, C, E, F, and G,  
and one 6-bit port (D). Each of these seven ports serves a purpose other than I/O, de-  
pending on the operating mode or peripheral functions selected. Note that ports B, C,  
and F are available for I/O functions only in single-chip and bootstrap modes. The pins  
of ports A, C, D, and G are fully bidirectional. Ports B and F are output-only ports. Port  
E is an input-only port. Refer to Table 2-1 for details about the 54 port signals’ func-  
tions within different operating modes.  
PIN DESCRIPTIONS  
MC68HC11F1  
2-6  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
Table 2-1 Port Signal Functions  
Port/Bit  
Single-Chip and  
Bootstrap Mode  
Expanded and  
Special Test Mode  
PA0  
PA1  
PA0/IC3  
PA1/IC2  
PA2/IC1  
PA2  
PA3  
PA3/OC5/IC4/OC1  
PA4/OC4/OC1  
PA5/OC3/OC1  
PA6/OC2/OC1  
PA7/PAI/OC1  
PA4  
PA5  
PA6  
PA7  
PB[7:0]  
PC[7:0]  
PD0  
PB[7:0]  
PC[7:0]  
ADDR[15:8]  
DATA[7:0]  
PD0/RxD  
PD1/TxD  
PD1  
PD2  
PD2/MISO  
PD3/MOSI  
PD4/SCK  
PD3  
PD4  
PD5  
PD5/SS  
PE[7:0]  
PF[7:0]  
PG0  
PG1  
PG2  
PG3  
PG4  
PG5  
PG6  
PG7  
PE[7:0]/AN[7:0]  
PF[7:0]  
ADDR[7:0]  
PG0  
PG1  
PG2  
PG3  
PG4  
PG5  
PG6  
PG7  
PG4/CSIO2  
PG5/CSIO1  
PG6/CSGEN  
PG7/CSPROG  
2.11.1 Port A  
Port A is an 8-bit general-purpose I/O port with a data register (PORTA) and a data  
direction register (DDRA). Port A pins share functions with the 16-bit timer system.  
PORTA can be read at any time. Inputs return the pin level; outputs return the pin driv-  
er input level. If written, PORTA stores the data in internal latches. It drives the pins  
only if they are configured as outputs. Writes to PORTA do not change the pin state  
when the pins are configured for timer output compares.  
Out of reset, port A pins [7:0] are general-purpose high-impedance inputs. When the  
timer functions associated with these pins are disabled, the bits in DDRA govern the  
I/O state of the associated pin. For further information, refer to SECTION 6 PARAL-  
LEL INPUT/OUTPUT.  
NOTE  
When using the information about port functions, do not confuse pin  
function with the electrical state of the pin at reset. All general-pur-  
pose I/O pins configured as inputs at reset are in a high-impedance  
state. Port data registers reflect the logic state of the port at reset.  
The pin function is mode dependent.  
PIN DESCRIPTIONS  
TECHNICAL DATA  
2-7  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
2.11.2 Port B  
Port B is an 8-bit output-only port. In single-chip modes, port B pins are general-pur-  
pose output pins (PB[7:0]). In expanded modes, port B pins act as the high-order ad-  
dress lines (ADDR[15:8]) of the address bus.  
PORTB can be read at any time. Reads of PORTB return the pin driver input level. If  
PORTB is written, the data is stored in internal latches. It drives the pins only in single-  
chip or bootstrap mode. In expanded operating modes, port B pins are the high-order  
address outputs (ADDR[15:8]).  
Refer to SECTION 6 PARALLEL INPUT/OUTPUT.  
2.11.3 Port C  
Port C is an 8-bit general-purpose I/O port with a data register (PORTC) and a data  
direction register (DDRC). In single-chip modes, port C pins are general-purpose I/O  
pins (PC[7:0]). In expanded modes, port C pins are configured as data bus pins (DA-  
TA[7:0]).  
PORTC can be read at any time. Inputs return the pin level; outputs return the pin driv-  
er input level. If PORTC is written, the data is stored in internal latches. It drives the  
pins only if they are configured as outputs in single-chip or bootstrap mode. Port C pins  
are general-purpose inputs out of reset in single-chip and bootstrap modes. In expand-  
ed and test modes, these pins are data bus lines out of reset.  
The CWOM control bit in the OPT2 register disables port C’s P-channel output drivers.  
Because the N-channel driver is not affected by CWOM, setting CWOM causes port  
C to become an open-drain-type output port suitable for wired-OR operation. In wired-  
OR mode, (PORTC bits are at logic level zero), pins are actively driven low by the N-  
channel driver. When a port C bit is at logic level one, the associated pin is in a high-  
impedance state, as neither the N-channel nor the P-channel devices are active. It is  
customary to have an external pull-up resistor on lines that are driven by open-drain  
devices. Port C can only be configured for wired-OR operation when the MCU is in sin-  
gle-chip or bootstrap modes.  
Refer to SECTION 6 PARALLEL INPUT/OUTPUT.  
2.11.4 Port D  
Port D, a 6-bit general-purpose I/O port, has a data register (PORTD) and a data di-  
rection register (DDRD). The six port D lines (D[5:0]) can be used for general-purpose  
I/O, for the serial communications interface (SCI) and serial peripheral interface (SPI)  
subsystems.  
PORTD can be read at any time. Inputs return the pin level; outputs return the pin driv-  
er input level. If PORTD is written, the data is stored in internal latches and can be driv-  
en only if port D is configured for general-purpose output.  
The DWOM control bit in the SPCR register disables port D’s P-channel output drivers.  
Because the N-channel driver is not affected by DWOM, setting DWOM causes port  
D to become an open-drain-type output port suitable for wired-OR operation. In wired-  
PIN DESCRIPTIONS  
MC68HC11F1  
2-8  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
OR mode, (PORTD bits are at logic level zero), pins are actively driven low by the N-  
channel driver. When a port D bit is at logic level one, the associated pin is in a high-  
impedance state, as neither the N-channel nor the P-channel devices are active. It is  
customary to have an external pull-up resistor on lines that are driven by open-drain  
devices. Port D can be configured for wired-OR operation in any operating mode.  
Refer to SECTION 6 PARALLEL INPUT/OUTPUT, SECTION 7 SERIAL COMMUNI-  
CATIONS INTERFACE, and SECTION 8 SERIAL PERIPHERAL INTERFACE.  
2.11.5 Port E  
Port E is an 8-bit input-only port that is also used as the analog input port for the ana-  
log-to-digital converter. Port E pins that are not used for the A/D system can be used  
as general-purpose inputs. However, PORTE should not be read during the sample  
portion of an A/D conversion sequence.  
Refer to SECTION 10 ANALOG-TO-DIGITAL CONVERTER.  
2.11.6 Port F  
Port F is an 8-bit output-only port. In single-chip mode, port F pins are general-purpose  
output pins (PF[7:0]). In expanded mode, port F pins act as the low-order address out-  
puts (ADDR[7:0]).  
PORTF can be read at any time. Reads of PORTF return the pin driver input level. If  
PORTF is written, the data is stored in internal latches. It drives the pins only in single-  
chip or bootstrap mode. In expanded operating modes, port F pins are the low-order  
address outputs (ADDR[7:0]).  
Refer to SECTION 6 PARALLEL INPUT/OUTPUT.  
2.11.7 Port G  
Port G is an 8-bit general-purpose I/O port. When enabled, four chip select signals are  
alternate functions of port G bits [7:4].  
PORTG can be read at any time. Inputs return the pin level; outputs return the pin driv-  
er input level. If PORTG is written, the data is stored in internal latches. It drives the  
pins only if they are configured as outputs.  
The GWOM control bit in the OPT2 register disables port G's P-channel output drivers.  
Because the N-channel driver is not affected by GWOM, setting GWOM causes port  
G to become an open-drain-type output port suitable for wired-OR operation. In wired-  
OR mode, (PORTG bits are at logic level zero), pins are actively driven low by the N-  
channel driver. When a port G bit is at logic level one, the associated pin is in a high-  
impedance state, as neither the N-channel nor the P-channel devices are active. It is  
customary to have an external pull-up resistor on lines that are driven by open-drain  
devices. Port G can be configured for wired-OR operation in any operating mode.  
Refer to SECTION 6 PARALLEL INPUT/OUTPUT and SECTION 4 OPERATING  
MODES AND ON-CHIP MEMORY.  
PIN DESCRIPTIONS  
TECHNICAL DATA  
2-9  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
PIN DESCRIPTIONS  
MC68HC11F1  
2-10  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
SECTION 3 CENTRAL PROCESSING UNIT  
This section presents information on M68HC11 central processing unit (CPU) archi-  
tecture. Data types, addressing modes, the instruction set, and the extended address-  
ing range required to support this MCU’s memory expansion feature are also included,  
as are special operations such as subroutine calls and interrupts.  
The CPU is designed to treat all peripheral, I/O, and memory locations identically as  
addresses in the 64 Kbyte memory map. This is referred to as memory-mapped I/O.  
There are no special instructions for I/O that are separate from those used for memory.  
This architecture also allows accessing an operand from an external memory location  
with no execution-time penalty.  
3.1 CPU Registers  
M68HC11 CPU registers are an integral part of the CPU and are not addressed as if  
they were memory locations. The seven registers, discussed in the following para-  
graphs, are shown in Figure 3-1.  
CENTRAL PROCESSING UNIT  
TECHNICAL DATA  
3-1  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
7
7
0
0
0
0
0
0
0
ACCUMULATOR A  
ACCUMULATOR B  
A
B
15  
DOUBLE ACCUMULATOR D  
INDEX REGISTER X  
INDEX REGISTER Y  
STACK POINTER  
D
15  
15  
15  
15  
IX  
IY  
SP  
PC  
CCR  
PROGRAM COUNTER  
7
6
5
4
I
3
2
Z
1
0
CONDITION CODE REGISTER  
S
X
H
N
V
C
CARRY  
OVERFLOW  
ZERO  
NEGATIVE  
I INTERRUPT MASK  
HALF-CARRY (FROM BIT 3)  
X INTERRUPT MASK  
STOP DISABLE  
Figure 3-1 Programming Model  
3.1.1 Accumulators A, B, and D  
Accumulators A and B are general-purpose 8-bit registers that hold operands and re-  
sults of arithmetic calculations or data manipulations. For some instructions, these two  
accumulators are treated as a single double-byte (16-bit) accumulator called accumu-  
lator D. Although most instructions can use accumulators A or B interchangeably, the  
following exceptions apply:  
The ABX and ABY instructions add the contents of 8-bit accumulator B to the contents  
of 16-bit register X or Y, but there are no equivalent instructions that use A instead of B.  
The TAP and TPA instructions transfer data from accumulator A to the condition code  
register, or from the condition code register to accumulator A, however, there are no  
equivalent instructions that use B rather than A.  
The decimal adjust accumulator A (DAA) instruction is used after binary-coded deci-  
mal (BCD) arithmetic operations, but there is no equivalent BCD instruction to adjust  
accumulator B.  
CENTRAL PROCESSING UNIT  
MC68HC11F1  
3-2  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
The add, subtract, and compare instructions associated with both A and B (ABA, SBA,  
and CBA) only operate in one direction, making it important to plan ahead to ensure  
that the correct operand is in the correct accumulator.  
3.1.2 Index Register X (IX)  
The IX register provides a 16-bit indexing value that can be added to the 8-bit offset  
provided in an instruction to create an effective address. The IX register can also be  
used as a counter or as a temporary storage register.  
3.1.3 Index Register Y (IY)  
The 16-bit IY register performs an indexed mode function similar to that of the IX reg-  
ister. However, most instructions using the IY register require an extra byte of machine  
code and an extra cycle of execution time because of the way the opcode map is im-  
plemented. Refer to 3.3 Opcodes and Operands for further information.  
3.1.4 Stack Pointer (SP)  
The M68HC11 CPU has an automatic program stack. This stack can be located any-  
where in the address space and can be any size up to the amount of memory available  
in the system. Normally the SP is initialized by one of the first instructions in an appli-  
cation program. The stack is configured as a data structure that grows downward from  
high memory to low memory. Each time a new byte is pushed onto the stack, the SP  
is decremented. Each time a byte is pulled from the stack, the SP is incremented. At  
any given time, the SP holds the 16-bit address of the next free location in the stack.  
Figure 3-2 is a summary of SP operations.  
CENTRAL PROCESSING UNIT  
TECHNICAL DATA  
3-3  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
JSR, JUMP TO SUBROUTINE  
MAIN PROGRAM  
$9D = JSR  
RTI, RETURN FROM INTERRUPT  
INTERRUPT PROGRAM  
$3B = RTI  
STACK  
PC  
SP  
SP+1  
SP+2  
SP+3  
SP+4  
SP+5  
PC  
DIRECT  
dd  
CONDITION CODE  
ACMLTR B  
RTN  
NEXT MAIN INSTR  
ACMLTR A  
MAIN PROGRAM  
$AD = JSR  
INDEX REGISTER (X )  
H
INDEX REGISTER (X )  
PC  
INDXD,X  
L
ff  
SP+6 INDEX REGISTER (Y )  
H
RTN  
NEXT MAIN INSTR  
STACK  
SP+7 INDEX REGISTER (Y )  
L
SP-2  
SP-1  
SP  
MAIN PROGRAM  
$18 = PRE  
RTN  
SP+8  
SP+9  
RTN  
H
H
PC  
RTN  
RTN  
L
L
$AD = JSR  
INDXD,Y  
EXTEND  
ff  
RTN  
PC  
NEXT MAIN INSTR  
SWI, SOFTWARE INTERRUPT  
MAIN PROGRAM  
STACK  
MAIN PROGRAM  
PC  
$3F = SWI  
SP-9  
SP-8  
SP-7  
SP-6  
SP-5  
SP-4  
$BD = JSR  
RTN  
CONDITION CODE  
ACMLTR B  
hh  
ll  
ACMLTR A  
RTN  
NEXT MAIN INSTR  
INDEX REGISTER (X )  
H
INDEX REGISTER (X )  
L
BSR, BRANCH TO SUBROUTINE  
MAIN PROGRAM  
WAI, WAIT FOR INTERRUPT  
MAIN PROGRAM  
SP-3 INDEX REGISTER (Y )  
H
SP-2 INDEX REGISTER (Y )  
STACK  
L
PC  
$8D = BSR  
rr  
PC  
$3E = WAI  
SP-2  
SP-1  
SP  
RTN  
SP-1  
SP  
H
RTN  
H
RTN  
RTN  
L
RTN  
RTN  
NEXT MAIN INSTR  
L
RTS, RETURN FROM SUBROUTINE  
SUBROUTINE  
STACK  
LEGEND:  
SP  
SP+1  
SP+2  
RTN Address of next instruction in main program to be  
executed upon return from subroutine.  
PC  
$39 = RTS  
RTN  
H
RTN  
Most significant byte of return address.  
Least significant byte of return address.  
Shaded cells show stack pointer position after  
operation is complete.  
H
L
RTN  
L
RTN  
dd 8-bit direct address ($0000-$00FF) (high byte  
assumed to be $00).  
ff 8-bit positive offset $00 (0) to $FF (256) is added  
to index.  
hh High-order byte of 16-bit extended address.  
ll Low-order byte of 16-bit extended address.  
rr Signed-relative offset $80 (-128) to $7F (+127)  
(offset relative to the address following the  
machine code offset byte).  
Figure 3-2 Stacking Operations  
When a subroutine is called by a jump to subroutine (JSR) or branch to subroutine  
(BSR) instruction, the address of the instruction after the JSR or BSR is automatically  
pushed onto the stack, least significant byte first. When the subroutine is finished, a  
return from subroutine (RTS) instruction is executed. The RTS pulls the previously  
stacked return address from the stack, and loads it into the program counter. Execu-  
tion then continues at this recovered return address.  
CENTRAL PROCESSING UNIT  
MC68HC11F1  
3-4  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
When an interrupt is recognized, the current instruction finishes normally, the return  
address (the current value in the program counter) is pushed onto the stack, all of the  
CPU registers are pushed onto the stack, and execution continues at the address  
specified by the vector for the interrupt. At the end of the interrupt service routine, an  
RTI instruction is executed. The RTI instruction causes the saved registers to be pulled  
off the stack in reverse order. Program execution resumes at the return address.  
There are instructions that push and pull the A and B accumulators and the X and Y  
index registers. These instructions are often used to preserve program context. For  
example, pushing accumulator A onto the stack when entering a subroutine that uses  
accumulator A, and then pulling accumulator A off the stack just before leaving the  
subroutine, ensures that the contents of a register will be the same after returning from  
the subroutine as it was before starting the subroutine.  
3.1.5 Program Counter (PC)  
The program counter, a 16-bit register, contains the address of the next instruction to  
be executed. After reset, the program counter is initialized from one of six possible  
vectors, depending on operating mode and the cause of reset.  
Table 3-1 Reset Vector Comparison  
POR or RESET Pin  
$FFFE, F  
Clock Monitor  
$FFFC, D  
COP Watchdog  
$FFFA, B  
Normal  
Test or Boot  
$BFFE, F  
$BFFC, D  
$BFFA, B  
3.1.6 Condition Code Register (CCR)  
This 8-bit register contains five condition code indicators (C, V, Z, N, and H), two inter-  
rupt masking bits, (I and X) and a stop disable bit (S). In the M68HC11 CPU, condition  
codes are automatically updated by most instructions. For example, load accumulator  
A (LDAA) and store accumulator A (STAA) instructions automatically set or clear the  
N, Z, and V condition code flags. Pushes, pulls, add B to X (ABX), add B to Y (ABY),  
and transfer/exchange instructions do not affect the condition codes. Refer to Table  
3-2, which shows what condition codes are affected by a particular instruction.  
3.1.6.1 Carry/Borrow (C)  
The C bit is set if the arithmetic logic unit (ALU) performs a carry or borrow during an  
arithmetic operation. The C bit also acts as an error flag for multiply and divide opera-  
tions. Shift and rotate instructions operate with and through the carry bit to facilitate  
multiple-word shift operations.  
3.1.6.2 Overflow (V)  
The overflow bit is set if an operation causes an arithmetic overflow. Otherwise, the V  
bit is cleared.  
CENTRAL PROCESSING UNIT  
TECHNICAL DATA  
3-5  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
3.1.6.3 Zero (Z)  
The Z bit is set if the result of an arithmetic, logic, or data manipulation operation is  
zero. Otherwise, the Z bit is cleared. Compare instructions do an internal implied sub-  
traction and the condition codes, including Z, reflect the results of that subtraction. A  
few operations (INX, DEX, INY, and DEY) affect the Z bit and no other condition flags.  
For these operations, only = and - conditions can be determined.  
3.1.6.4 Negative (N)  
The N bit is set if the result of an arithmetic, logic, or data manipulation operation is  
negative (MSB = 1). Otherwise, the N bit is cleared. A result is said to be negative if  
its most significant bit (MSB) is a one. A quick way to test whether the contents of a  
memory location has the MSB set is to load it into an accumulator and then check the  
status of the N bit.  
3.1.6.5 Interrupt Mask (I)  
The interrupt request (IRQ) mask (I bit) is a global mask that disables all maskable in-  
terrupt sources. While the I bit is set, interrupts can become pending, but the operation  
of the CPU continues uninterrupted until the I bit is cleared. After any reset, the I bit is  
set by default and can only be cleared by a software instruction. When an interrupt is  
recognized, the I bit is set after the registers are stacked, but before the interrupt vector  
is fetched. After the interrupt has been serviced, a return from interrupt instruction is  
normally executed, restoring the registers to the values that were present before the  
interrupt occurred. Normally, the I bit is zero after a return from interrupt is executed.  
Although the I bit can be cleared within an interrupt service routine, “nesting” interrupts  
in this way should only be done when there is a clear understanding of latency and of  
the arbitration mechanism. Refer to SECTION 5 RESETS AND INTERRUPTS.  
3.1.6.6 Half Carry (H)  
The H bit is set when a carry occurs between bits 3 and 4 of the arithmetic logic unit  
during an ADD, ABA, or ADC instruction. Otherwise, the H bit is cleared. Half carry is  
used during BCD operations.  
3.1.6.7 X Interrupt Mask (X)  
The XIRQ mask (X) bit disables interrupts from the XIRQ pin. After any reset, X is set  
by default and must be cleared by a software instruction. When an XIRQ interrupt is  
recognized, the X and I bits are set after the registers are stacked, but before the in-  
terrupt vector is fetched. After the interrupt has been serviced, an RTI instruction is  
normally executed, causing the registers to be restored to the values that were present  
before the interrupt occurred. The X interrupt mask bit is set only by hardware (RESET  
or XIRQ acknowledge). X is cleared only by program instruction (TAP, where the as-  
sociated bit of A is zero; or RTI, where bit 6 of the value loaded into the CCR from the  
stack has been cleared). There is no hardware action for clearing X.  
CENTRAL PROCESSING UNIT  
MC68HC11F1  
3-6  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
3.1.6.8 Stop Disable (S)  
Setting the STOP disable (S) bit prevents the STOP instruction from putting the  
M68HC11 into a low-power stop condition. If the CPU encounters a STOP instruction  
while the S bit is set, it is treated as a no-operation (NOP) instruction, and processing  
continues to the next instruction. S is set by reset — STOP disabled by default.  
3.2 Data Types  
The M68HC11 CPU supports the following data types:  
• Bit data  
• 8-bit and 16-bit signed and unsigned integers  
• 16-bit unsigned fractions  
• 16-bit addresses  
A byte is eight bits wide and can be accessed at any byte location. A word is composed  
of two consecutive bytes with the most significant byte at the lower value address. Be-  
cause the M68HC11 is an 8-bit CPU, there are no special requirements for alignment  
of instructions or operands.  
3.3 Opcodes and Operands  
The M68HC11 family of microcontrollers uses 8-bit opcodes. Each opcode identifies  
a particular instruction and associated addressing mode to the CPU. Several opcodes  
are required to provide each instruction with a range of addressing capabilities. Only  
256 opcodes would be available if the range of values were restricted to the number  
able to be expressed in 8-bit binary numbers.  
A four-page opcode map has been implemented to expand the number of instructions.  
An additional byte, called a prebyte, directs the processor from page 0 of the opcode  
map to one of the other three pages. As its name implies, the additional byte precedes  
the opcode.  
A complete instruction consists of a prebyte, if any, an opcode, and zero, one, two, or  
three operands. The operands contain information the CPU needs for executing the  
instruction. Complete instructions can be from one to five bytes long.  
3.4 Addressing Modes  
Six addressing modes can be used to access memory: immediate, direct, extended,  
indexed, inherent, and relative. These modes are detailed in the following paragraphs.  
All modes except inherent mode use an effective address. The effective address is the  
memory address from which the argument is fetched or stored, or the address from  
which execution is to proceed. The effective address can be specified within an in-  
struction, or it can be calculated.  
3.4.1 Immediate  
In the immediate addressing mode an argument is contained in the byte(s) immediate-  
ly following the opcode. The number of bytes following the opcode matches the size  
of the register or memory location being operated on. There are two-, three-, and four-  
CENTRAL PROCESSING UNIT  
TECHNICAL DATA  
3-7  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
(if prebyte is required) byte immediate instructions. The effective address is the ad-  
dress of the byte following the instruction.  
3.4.2 Direct  
In the direct addressing mode, the low-order byte of the operand address is contained  
in a single byte following the opcode, and the high-order byte of the address is as-  
sumed to be $00. Addresses $00–$FF are thus accessed directly, using two-byte in-  
structions. Execution time is reduced by eliminating the additional memory access  
required for the high-order address byte. In most applications, this 256-byte area is re-  
served for frequently referenced data. In M68HC11 MCUs, the memory map can be  
configured for combinations of internal registers, RAM, or external memory to occupy  
these addresses.  
3.4.3 Extended  
In the extended addressing mode, the effective address of the argument is contained  
in two bytes following the opcode byte. These are three-byte instructions (or four-byte  
instructions if a prebyte is required). One or two bytes are needed for the opcode and  
two for the effective address.  
3.4.4 Indexed  
In the indexed addressing mode, an 8-bit unsigned offset contained in the instruction  
is added to the value contained in an index register (IX or IY). The sum is the effective  
address. This addressing mode allows referencing any memory location in the 64  
Kbyte address space. These are two- to five-byte instructions, depending on whether  
or not a prebyte is required.  
3.4.5 Inherent  
In the inherent addressing mode, all the information necessary to execute the instruc-  
tion is contained in the opcode. Operations that use only the index registers or accu-  
mulators, as well as control instructions with no arguments, are included in this  
addressing mode. These are one- or two-byte instructions.  
3.4.6 Relative  
The relative addressing mode is used only for branch instructions. If the branch con-  
dition is true, an 8-bit signed offset included in the instruction is added to the contents  
of the program counter to form the effective branch address. Otherwise, control pro-  
ceeds to the next instruction. These are usually two-byte instructions.  
3.5 Instruction Set  
Refer to Table 3-2, which shows all the M68HC11 instructions in all possible address-  
ing modes. For each instruction, the table shows the operand construction, the num-  
ber of machine code bytes, and execution time in CPU E clock cycles.  
CENTRAL PROCESSING UNIT  
MC68HC11F1  
3-8  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
Table 3-2 Instruction Set (Sheet 1 of 6)  
Mnemonic  
Operation  
Description  
Addressing  
Mode  
Instruction  
Operand Cycles  
Condition Codes  
Opcode  
S
X
H
I
N
Z
V
C
ABA  
Add  
A + B  
A
INH  
1B  
2
Accumulators  
ABX  
ABY  
Add B to X  
Add B to Y  
IX + (00 : B)  
IY + (00 : B)  
A + M + C  
IX  
IY  
A
INH  
INH  
IMM  
DIR  
EXT  
3A  
3A  
89 ii  
99 dd  
B9 hh ll  
A9 ff  
A9 ff  
3
4
2
3
4
4
5
18  
ADCA (opr) Add with Carry  
to A  
A
A
A
A
A
IND,X  
IND,Y  
18  
18  
18  
18  
18  
18  
ADCB (opr) Add with Carry  
to B  
B + M + C  
B
B
B
B
B
B
A
A
A
A
A
B
B
B
B
B
IMM  
DIR  
EXT  
IND,X  
IND,Y  
IMM  
DIR  
EXT  
IND,X  
IND,Y  
IMM  
DIR  
EXT  
IND,X  
IND,Y  
IMM  
DIR  
EXT  
IND,X  
IND,Y  
IMM  
DIR  
EXT  
IND,X  
IND,Y  
IMM  
DIR  
EXT  
IND,X  
IND,Y  
C9 ii  
2
3
4
4
5
2
3
4
4
5
2
3
4
4
5
4
5
6
6
7
2
3
4
4
5
2
3
4
4
5
0
0
D9 dd  
F9 hh ll  
E9 ff  
E9 ff  
8B ii  
9B dd  
BB hh ll  
AB ff  
AB ff  
CB ii  
ADDA (opr)  
ADDB (opr)  
Add Memory  
to A  
A + M  
A
B
Add Memory  
to B  
B + M  
DB dd  
FB hh ll  
EB ff  
EB ff  
ADDD (opr) Add 16-Bit to D D + (M : M + 1)  
D
C3 jj kk  
D3 dd  
F3 hh ll  
E3 ff  
E3 ff  
84 ii  
94 dd  
B4 hh ll  
A4 ff  
A4 ff  
C4 ii  
D4 dd  
F4 hh ll  
E4 ff  
E4 ff  
78 hh ll  
68 ff  
ANDA (opr)  
ANDB (opr)  
AND A with  
Memory  
A • M  
B • M  
A
B
A
A
A
A
A
B
B
B
B
B
AND B with  
Memory  
18  
18  
ASL (opr)  
ASLA  
Arithmetic  
Shift Left  
EXT  
IND,X  
IND,Y  
6
6
7
0
68 ff  
b7  
b0  
C
Arithmetic  
A
B
INH  
INH  
INH  
48  
58  
05  
2
2
3
Shift Left A  
0
0
b7  
b7  
b0  
b0  
C
C
ASLB  
Arithmetic  
Shift Left B  
ASLD  
Arithmetic  
Shift Left D  
0
b7 A b0 b7 B b0  
C
ASR  
Arithmetic  
Shift Right  
EXT  
IND,X  
IND,Y  
77 hh ll  
67 ff  
67 ff  
6
6
7
18  
b7  
b7  
b7  
b0  
b0  
b0  
C
ASRA  
ASRB  
BCC (rel)  
Arithmetic  
Shift Right A  
A
B
INH  
INH  
REL  
47  
2
2
3
C
C
Arithmetic  
Shift Right B  
57  
Branch if Carry  
Clear  
? C = 0  
24 rr  
0
BCLR (opr)  
(msk)  
Clear Bit(s)  
M • (mm)  
M
DIR  
IND,X  
IND,Y  
15 dd mm  
1D ff mm  
1D ff mm  
6
7
8
18  
BCS (rel)  
BEQ (rel)  
BGE (rel)  
Branch if Carry  
Set  
Branch if =  
Zero  
? C = 1  
? Z = 1  
REL  
REL  
REL  
25 rr  
27 rr  
2C rr  
3
3
3
Branch if ∆  
? N V = 0  
Zero  
CENTRAL PROCESSING UNIT  
TECHNICAL DATA  
3-9  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
Table 3-2 Instruction Set (Sheet 2 of 6)  
Mnemonic  
Operation  
Description  
Addressing  
Mode  
Instruction  
Operand Cycles  
Condition Codes  
Opcode  
2E rr  
S
X
H
I
N
Z
V
C
BGT (rel)  
BHI (rel)  
BHS (rel)  
Branch if >  
Zero  
Branch if  
Higher  
Branch if  
Higher or  
Same  
? Z + (N V) = 0  
? C + Z = 0  
? C = 0  
REL  
3
3
3
REL  
REL  
22 rr  
24 rr  
BITA (opr)  
BITB (opr)  
Bit(s) Test A  
with Memory  
A • M  
B • M  
A
A
A
A
A
B
B
B
B
B
IMM  
DIR  
EXT  
IND,X  
IND,Y  
IMM  
DIR  
EXT  
IND,X  
IND,Y  
85 ii  
2
3
4
4
5
2
3
4
4
5
0
0
95 dd  
B5 hh ll  
A5 ff  
A5 ff  
C5 ii  
D5 dd  
F5 hh ll  
E5 ff  
18  
18  
Bit(s) Test B  
with Memory  
E5 ff  
BLE (rel)  
BLO (rel)  
BLS (rel)  
Branch if ∆  
Zero  
? Z + (N V) = 1  
? C = 1  
REL  
REL  
REL  
2F rr  
25 rr  
23 rr  
3
3
3
Branch if  
Lower  
Branch if  
Lower or  
Same  
? C + Z = 1  
BLT (rel)  
BMI (rel)  
BNE (rel)  
Branch if <  
Zero  
Branch if  
Minus  
Branch if not =  
Zero  
? N V = 1  
? N = 1  
REL  
REL  
REL  
2D rr  
2B rr  
26 rr  
3
3
3
? Z = 0  
BPL (rel)  
BRA (rel)  
BRCLR(opr)  
Branch if Plus  
Branch Always  
Branch if  
? N = 0  
? 1 = 1  
? M • mm = 0  
REL  
REL  
DIR  
IND,X  
IND,Y  
2A rr  
20 rr  
13 dd mm rr  
1F ff mm rr  
1F ff mm rr  
3
3
6
7
8
(msk)  
(rel)  
Bit(s) Clear  
18  
BRN (rel)  
BRSET(opr)  
(msk)  
(rel)  
BSET (opr)  
(msk)  
Branch Never  
Branch if Bit(s)  
Set  
? 1 = 0  
? (M) • mm = 0  
REL  
DIR  
IND,X  
IND,Y  
DIR  
21 rr  
3
12 dd mm rr  
1E ff mm rr  
1E ff mm rr  
14 dd mm  
1C ff mm  
1C ff mm  
6
7
8
6
7
8
18  
18  
Set Bit(s)  
M + mm  
M
0
IND,X  
IND,Y  
BSR (rel)  
Branch to  
Subroutine  
Branch if  
Overflow Clear  
Branch if  
Overflow Set  
Compare A to  
B
See Figure 3–2  
? V = 0  
REL  
REL  
REL  
INH  
8D rr  
28 rr  
29 rr  
6
3
3
2
BVC (rel)  
BVS (rel)  
CBA  
? V = 1  
A – B  
11  
CLC  
CLI  
Clear Carry Bit  
Clear Interrupt  
Mask  
0
0
C
I
INH  
INH  
0C  
0E  
2
2
0
0
CLR (opr)  
Clear Memory  
Byte  
0
M
EXT  
IND,X  
IND,Y  
7F hh ll  
6F ff  
6F ff  
6
6
7
0
1
0
0
18  
CLRA  
CLRB  
CLV  
Clear  
Accumulator A  
Clear  
Accumulator B  
ClearOverflow  
Flag  
0
0
0
A
B
V
A
B
INH  
INH  
INH  
4F  
5F  
0A  
2
2
2
0
0
1
1
0
0
0
0
0
CMPA (opr) Compare A to  
Memory  
A – M  
A
A
A
A
A
B
B
B
B
B
IMM  
DIR  
EXT  
IND,X  
IND,Y  
IMM  
DIR  
EXT  
IND,X  
IND,Y  
81 ii  
91 dd  
B1 hh ll  
A1 ff  
A1 ff  
2
3
4
4
5
2
3
4
4
5
18  
18  
CMPB (opr) Compare B to  
Memory  
B – M  
C1 ii  
D1 dd  
F1 hh ll  
E1 ff  
E1 ff  
CENTRAL PROCESSING UNIT  
MC68HC11F1  
TECHNICAL DATA  
3-10  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
Table 3-2 Instruction Set (Sheet 3 of 6)  
Mnemonic  
Operation  
Description  
Addressing  
Mode  
Instruction  
Operand Cycles  
Condition Codes  
Opcode  
S
X
H
I
N
Z
V
C
COM (opr)  
Ones  
Complement  
Memory Byte  
Ones  
Complement  
A
Ones  
Complement  
B
$FF – M  
$FF – A  
$FF – B  
M
EXT  
IND,X  
IND,Y  
73 hh ll  
63 ff  
63 ff  
6
6
7
0
1
18  
COMA  
COMB  
A
B
A
B
INH  
43  
2
0
0
1
1
INH  
53  
2
CPD (opr)  
Compare D to  
Memory 16-Bit  
D – M : M + 1  
IX – M : M + 1  
IY – M : M + 1  
IMM  
DIR  
EXT  
IND,X  
IND,Y  
IMM  
DIR  
EXT  
IND,X  
IND,Y  
IMM  
DIR  
EXT  
IND,X  
IND,Y  
1A  
1A  
1A  
1A  
CD  
83 jj kk  
93 dd  
B3 hh ll  
A3 ff  
5
6
7
7
7
4
5
6
6
7
5
6
7
7
7
A3 ff  
CPX (opr)  
CPY (opr)  
Compare X to  
Memory 16-Bit  
8C jj kk  
9C dd  
BC hh ll  
AC ff  
CD  
AC ff  
Compare Y to  
Memory 16-Bit  
18  
18  
18  
1A  
18  
8C jj kk  
9C dd  
BC hh ll  
AC ff  
AC ff  
DAA  
DecimalAdjust Adjust Sum to BCD  
A
INH  
19  
2
DEC (opr)  
Decrement  
M – 1  
A – 1  
B – 1  
M
A
B
EXT  
IND,X  
IND,Y  
INH  
INH  
7A hh ll  
6A ff  
6A ff  
6
6
7
Memory Byte  
18  
DECA  
DECB  
Decrement  
Accumulator  
A
A
B
4A  
2
Decrement  
Accumulator  
B
5A  
2
DES  
DEX  
Decrement  
Stack Pointer  
Decrement  
Index Register  
X
SP – 1  
IX – 1  
SP  
IX  
INH  
INH  
34  
09  
3
3
DEY  
Decrement  
Index Register  
Y
Exclusive OR  
A with Memory  
IY – 1  
IY  
A
INH  
18  
09  
4
0
EORA (opr)  
A
B
M
A
A
A
A
A
B
B
B
B
B
IMM  
DIR  
EXT  
IND,X  
IND,Y  
IMM  
DIR  
EXT  
IND,X  
IND,Y  
88 ii  
98 dd  
B8 hh ll  
A8 ff  
A8 ff  
2
3
4
4
5
2
3
4
4
5
18  
18  
EORB (opr)  
FDIV  
Exclusive OR  
B with Memory  
M
B
C8 ii  
0
D8 dd  
F8 hh ll  
E8 ff  
E8 ff  
03  
Fractional  
Divide 16 by  
16  
Integer Divide D / IX  
16 by 16  
D / IX  
IX; r  
D
D
INH  
41  
IDIV  
IX; r  
INH  
02  
41  
0
INC (opr)  
Increment  
Memory Byte  
M + 1  
M
EXT  
IND,X  
IND,Y  
7C hh ll  
6C ff  
6C ff  
6
6
7
18  
INCA  
INCB  
Increment  
Accumulator  
A
Increment  
Accumulator  
B
A + 1  
B + 1  
A
B
A
B
INH  
4C  
2
INH  
5C  
2
INS  
INX  
Increment  
Stack Pointer  
Increment  
Index Register  
X
SP + 1  
IX + 1  
SP  
IX  
INH  
INH  
31  
08  
3
3
CENTRAL PROCESSING UNIT  
TECHNICAL DATA  
3-11  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
Table 3-2 Instruction Set (Sheet 4 of 6)  
Mnemonic  
Operation  
Description  
Addressing  
Mode  
Instruction  
Operand Cycles  
Condition Codes  
Opcode  
18 08  
S
X
H
I
N
Z
V
C
INY  
Increment  
Index Register  
Y
IY + 1 IY  
INH  
4
JMP (opr)  
JSR (opr)  
Jump  
See Figure 3–2  
See Figure 3–2  
EXT  
IND,X  
IND,Y  
DIR  
EXT  
7E hh ll  
6E ff  
6E ff  
9D dd  
BD hh ll  
AD ff  
3
3
4
5
6
6
7
18  
18  
Jump to  
Subroutine  
IND,X  
IND,Y  
AD ff  
LDAA (opr)  
LDAB (opr)  
LDD (opr)  
LDS (opr)  
LDX (opr)  
LDY (opr)  
Load  
Accumulator  
A
M
M
A
B
A
A
A
A
A
B
B
B
B
B
IMM  
DIR  
EXT  
IND,X  
IND,Y  
IMM  
DIR  
EXT  
IND,X  
IND,Y  
IMM  
DIR  
EXT  
IND,X  
IND,Y  
IMM  
DIR  
EXT  
IND,X  
IND,Y  
IMM  
DIR  
EXT  
IND,X  
IND,Y  
IMM  
DIR  
EXT  
IND,X  
IND,Y  
86 ii  
2
3
4
4
5
2
3
4
4
5
3
4
5
5
6
3
4
5
5
6
3
4
5
5
6
4
5
6
6
6
0
0
0
0
0
0
96 dd  
B6 hh ll  
A6 ff  
A6 ff  
C6 ii  
D6 dd  
F6 hh ll  
E6 ff  
18  
18  
18  
18  
CD  
Load  
Accumulator  
B
E6 ff  
Load Double  
Accumulator  
D
M
A,M + 1  
B
CC jj kk  
DC dd  
FC hh ll  
EC ff  
EC ff  
Load Stack  
Pointer  
M : M + 1  
SP  
8E jj kk  
9E dd  
BE hh ll  
AE ff  
AE ff  
Load Index  
Register  
X
M : M + 1  
M : M + 1  
IX  
IY  
CE jj kk  
DE dd  
FE hh ll  
EE ff  
EE ff  
Load Index  
Register  
Y
18  
18  
18  
1A  
18  
CE jj kk  
DE dd  
FE hh ll  
EE ff  
EE ff  
LSL (opr)  
LSLA  
Logical Shift  
Left  
EXT  
IND,X  
IND,Y  
78 hh ll  
68 ff  
68 ff  
6
6
7
0
0
0
0
0
0
0
18  
b7  
b7  
b7  
b0  
b0  
b0  
C
C
C
Logical Shift  
Left A  
A
B
INH  
INH  
INH  
48  
58  
05  
2
2
3
LSLB  
Logical Shift  
Left B  
LSLD  
Logical Shift  
Left Double  
0
b7 A b0 b7 B b0  
C
LSR (opr)  
LSRA  
Logical Shift  
Right  
EXT  
IND,X  
IND,Y  
74 hh ll  
64 ff  
64 ff  
6
6
7
0
0
0
18  
b7  
b7  
b7  
b0  
b0  
b0  
C
C
C
Logical Shift  
Right A  
A
B
INH  
INH  
INH  
INH  
EXT  
IND,X  
IND,Y  
INH  
INH  
44  
54  
04  
3D  
2
LSRB  
Logical Shift  
Right B  
2
LSRD  
Logical Shift  
Right Double  
3
0
b7 A b0 b7 B b0  
C
MUL  
NEG (opr)  
Multiply 8 by 8  
A
B
D
M
10  
Two’s  
Complement  
Memory Byte  
Two’s  
Complement  
A
Two’s  
Complement  
B
0 – M  
0 – A  
0 – B  
70 hh ll  
60 ff  
60 ff  
6
6
7
18  
NEGA  
NEGB  
A
B
A
B
40  
2
50  
2
CENTRAL PROCESSING UNIT  
MC68HC11F1  
TECHNICAL DATA  
3-12  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
Table 3-2 Instruction Set (Sheet 5 of 6)  
Mnemonic  
Operation  
Description  
Addressing  
Mode  
Instruction  
Operand Cycles  
Condition Codes  
Opcode  
S
X
H
I
N
Z
V
0
C
NOP  
ORAA (opr)  
No operation  
No Operation  
INH  
IMM  
DIR  
EXT  
IND,X  
IND,Y  
IMM  
DIR  
EXT  
01  
2
OR  
Accumulator  
A (Inclusive)  
A + M  
A
A
A
A
A
A
B
B
B
B
B
8A ii  
9A dd  
BA hh ll  
AA ff  
2
3
4
4
5
18  
18  
AA ff  
ORAB (opr)  
OR  
Accumulator  
B (Inclusive)  
B + M  
B
CA ii  
2
3
4
4
5
0
DA dd  
FA hh ll  
EA ff  
IND,X  
IND,Y  
EA ff  
PSHA  
PSHB  
PSHX  
Push A onto  
Stack  
Push B onto  
Stack  
A
B
Stk,SP = SP – 1  
Stk,SP = SP – 1  
A
INH  
INH  
INH  
36  
37  
3C  
3
3
4
B
Push X onto IX Stk,SP = SP – 2  
Stack (Lo  
First)  
PSHY  
Push Y onto IY Stk,SP = SP – 2  
INH  
18  
3C  
5
Stack (Lo  
First)  
PULA  
PULB  
PULX  
Pull A from SP = SP + 1, A Stk A  
Stack  
Pull B from SP = SP + 1, B Stk B  
Stack  
INH  
INH  
INH  
32  
33  
38  
4
4
5
Pull X From  
Stack (Hi  
First)  
SP = SP + 2, IX  
Stk  
PULY  
ROL (opr)  
ROLA  
Pull Y from  
Stack (Hi  
First)  
SP = SP + 2, IY  
Stk  
INH  
18  
18  
38  
6
Rotate Left  
Rotate Left A  
Rotate Left B  
Rotate Right  
Rotate Right A  
Rotate Right B  
EXT  
IND,X  
IND,Y  
79 hh ll  
69 ff  
69 ff  
6
6
7
b7  
b7  
b7  
b0  
b0  
b0  
C
A
B
INH  
49  
2
C
ROLB  
INH  
59  
2
C
ROR (opr)  
RORA  
EXT  
IND,X  
IND,Y  
76 hh ll  
66 ff  
66 ff  
6
6
7
b7  
b0  
b0  
b0  
C
18  
A
B
INH  
46  
2
b7  
b7  
C
C
RORB  
INH  
56  
2
RTI  
RTS  
Return from  
Interrupt  
Return from  
Subroutine  
Subtract B  
from A  
Subtract with  
Carry from A  
See Figure 3–2  
INH  
INH  
INH  
3B  
39  
10  
12  
5
See Figure 3–2  
SBA  
A – B  
A
2
SBCA (opr)  
A – M – C  
A
B
A
A
A
A
A
B
B
B
B
B
IMM  
DIR  
EXT  
IND,X  
IND,Y  
IMM  
DIR  
EXT  
IND,X  
IND,Y  
82 ii  
92 dd  
B2 hh ll  
A2 ff  
A2 ff  
2
3
4
4
5
2
3
4
4
5
18  
18  
SBCB (opr)  
Subtract with  
Carry from B  
B – M – C  
C2 ii  
D2 dd  
F2 hh ll  
E2 ff  
E2 ff  
SEC  
SEI  
Set Carry  
Set Interrupt  
Mask  
1
1
C
I
INH  
INH  
0D  
0F  
2
2
1
1
SEV  
Set Overflow  
Flag  
Store  
Accumulator  
A
1
V
M
INH  
0B  
2
1
0
STAA (opr)  
A
A
A
A
A
DIR  
EXT  
IND,X  
IND,Y  
97 dd  
B7 hh ll  
A7 ff  
3
4
4
5
18  
A7 ff  
CENTRAL PROCESSING UNIT  
TECHNICAL DATA  
3-13  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
Table 3-2 Instruction Set (Sheet 6 of 6)  
Mnemonic  
Operation  
Description  
Addressing  
Mode  
Instruction  
Operand Cycles  
Condition Codes  
Opcode  
D7 dd  
S
X
H
I
N
Z
V
C
STAB (opr)  
Store  
Accumulator  
B
B
M
B
B
B
B
DIR  
EXT  
IND,X  
IND,Y  
DIR  
EXT  
IND,X  
IND,Y  
3
4
4
5
0
F7 hh ll  
E7 ff  
E7 ff  
18  
18  
STD (opr)  
Store  
Accumulator  
D
A
M, B  
M + 1  
DD dd  
FD hh ll  
ED ff  
4
5
5
6
0
ED ff  
STOP  
Stop Internal  
Clocks  
Store Stack  
Pointer  
INH  
CF  
2
0
STS (opr)  
SP  
M : M + 1  
M : M + 1  
M : M + 1  
DIR  
EXT  
IND,X  
IND,Y  
DIR  
EXT  
IND,X  
IND,Y  
DIR  
EXT  
IND,X  
IND,Y  
IMM  
DIR  
EXT  
IND,X  
IND,Y  
IMM  
DIR  
EXT  
IND,X  
IND,Y  
IMM  
DIR  
EXT  
IND,X  
IND,Y  
INH  
9F dd  
BF hh ll  
AF ff  
4
5
5
6
4
5
5
6
5
6
6
6
2
3
4
4
5
2
3
4
4
5
4
5
6
6
7
18  
AF ff  
STX (opr)  
STY (opr)  
Store Index  
Register X  
IX  
IY  
DF dd  
FF hh ll  
EF ff  
0
0
CD  
EF ff  
Store Index  
Register Y  
18  
18  
1A  
18  
DF dd  
FF hh ll  
EF ff  
EF ff  
80 ii  
90 dd  
B0 hh ll  
A0 ff  
A0 ff  
C0 ii  
SUBA (opr)  
Subtract  
Memory from  
A
A – M  
A
B
A
A
A
A
A
A
A
A
A
A
18  
18  
18  
SUBB (opr)  
SUBD (opr)  
SWI  
Subtract  
Memory from  
B
B – M  
1
D0 dd  
F0 hh ll  
E0 ff  
E0 ff  
Subtract  
Memory from  
D
D – M : M + 1  
D
83 jj kk  
93 dd  
B3 hh ll  
A3 ff  
A3 ff  
3F  
Software  
Interrupt  
See Figure 3–2  
14  
TAB  
TAP  
Transfer A to B  
Transfer A to  
CC Register  
A
B
CCR  
INH  
INH  
16  
06  
2
2
0
A
TBA  
TEST  
Transfer B to A  
TEST (Only in Address Bus Counts  
Test Modes)  
B
A
INH  
INH  
17  
00  
2
*
0
TPA  
Transfer CC  
Register to A  
Test for Zero  
or Minus  
CCR  
A
INH  
07  
2
0
0
TST (opr)  
M – 0  
EXT  
IND,X  
IND,Y  
7D hh ll  
6D ff  
6D ff  
6
6
7
18  
TSTA  
TSTB  
TSX  
Test A for Zero  
or Minus  
Test B for Zero  
or Minus  
Transfer  
Stack Pointer  
to X  
A – 0  
B – 0  
A
B
INH  
INH  
INH  
4D  
5D  
30  
2
2
3
0
0
0
0
SP + 1  
IX  
TSY  
Transfer  
Stack Pointer  
to Y  
Transfer X to  
Stack Pointer  
Transfer Y to  
Stack Pointer  
Wait for  
Interrupt  
Exchange D  
with X  
Exchange D  
with Y  
SP + 1  
IY  
INH  
18  
18  
30  
4
TXS  
TYS  
IX – 1  
IY – 1  
SP  
SP  
INH  
INH  
INH  
INH  
INH  
35  
35  
3E  
8F  
8F  
3
4
WAI  
Stack Regs & WAIT  
**  
3
XGDX  
XGDY  
IX  
IY  
D, D  
D, D  
IX  
IY  
18  
4
CENTRAL PROCESSING UNIT  
MC68HC11F1  
TECHNICAL DATA  
3-14  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
SECTION 4OPERATING MODES AND ON-CHIP MEMORY  
This section contains information about the modes that define MC68HC11F1 operat-  
ing conditions, and about the on-chip memory that allows the MCU to be configured  
for various applications.  
4.1 Operating Modes  
The values of the mode select inputs MODB and MODA during reset determine the  
operating mode. Single chip and expanded modes are the normal modes. In single-  
chip mode only on-board resources are available. Expanded mode, however, allows  
access to external memory or peripheral devices. Each of these two normal modes is  
paired with a special mode. Bootstrap mode, a variation of the single-chip mode, exe-  
cutes a bootloader program from an internal bootstrap ROM. Test mode allows privi-  
leged access to internal resources.  
4.1.1 Single-Chip Operating Mode  
In single-chip operating mode, the MC68HC11F1 has no external address or data bus.  
Ports B, C, and F are available for general-purpose I/O.  
4.1.2 Expanded Operating Mode  
In expanded operating mode, the MCU can access a 64-Kbyte physical address  
space. The address space includes the same on-chip memory addresses used for sin-  
gle-chip mode, in addition to external memory and peripheral devices.  
The expansion bus is made up of ports B, C, F and the R/W signal. In expanded mode,  
high order address bits are output on the port B pins, low order address bits on the port  
F pins, and the data bus on port C. The R/W pin indicates the direction of data transfer  
on the port C bus.  
4.1.3 Special Test Mode  
Special test mode, a variation of the expanded mode, is primarily used during Motor-  
ola's internal production testing; however, it is accessible for programming the CON-  
FIG register, programming calibration data into EEPROM, and supporting emulation  
and debugging during development.  
4.1.4 Special Bootstrap Mode  
Bootstrap mode is a special variation of the single-chip mode. Bootstrap mode allows  
special-purpose programs to be entered into internal RAM. When boot mode is select-  
ed at reset, a small bootstrap ROM becomes present in the memory map. Reset and  
interrupt vectors are located in bootstrap ROM at $BFC0–$BFFF. The MCU fetches  
the reset vector, then executes the bootloader.  
OPERATING MODES AND ON-CHIP MEMORY  
TECHNICAL DATA  
4-1  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
The bootstrap ROM contains a small program which initializes the SCI and allows the  
user to download a program of up to 1024 bytes into on-chip RAM. After a four-char-  
acter delay, or after receiving the character for address $03FF, control passes to the  
loaded program at $0000. An external pull-up resistor is required when using the SCI  
transmitter pin (TxD) because port D pins are configured for wired-OR operation by  
the bootloader. In bootstrap mode, the interrupt vectors point to RAM. This allows the  
use of interrupts through a jump table. Refer to Freescale application note AN1060,  
M68HC11 Bootstrap Mode.  
4.2 On-Chip Memory  
The MC68HC11F1 contains 1024 bytes of on-chip RAM and 512 bytes of EEPROM.  
The bootloader ROM occupies 256 bytes. The CONFIG register is implemented as a  
separate EEPROM byte.  
4.2.1 Mapping Allocations  
Memory locations for on-chip resources are the same for both expanded and single-  
chip modes. The 96-byte register block originates at $1000 after reset and can be  
placed at any other 4-Kbyte boundary ($x000) after reset by writing an appropriate val-  
ue to the INIT register. Refer to Figure 4-1, which illustrates the memory map.  
The on-board 1024-byte RAM is initially located at $0000 after reset. If RAM and reg-  
isters are both mapped to the same 4-Kbyte boundary, the first 96 bytes of RAM are  
inaccessible (registers have higher priority). Remapping is accomplished by writing  
appropriate values to the INIT register.  
The 512-byte EEPROM array is initially located at $FE00 after reset when EEPROM  
is enabled in the memory map by the CONFIG register. In expanded and special test  
modes EEPROM can be placed at any other 4-Kbyte boundary ($xE00) by program-  
ming bits EE[3:0] in the CONFIG register to an appropriate value. In single-chip and  
bootstrap modes the EEPROM is forced on and cannot be remapped.  
In special bootstrap mode, a bootloader ROM is enabled at locations $BF00–$BFFF.  
The vectors for special bootstrap mode are contained in the bootloader program. The  
boot ROM fills 256 bytes of the memory map even though not all locations are used.  
OPERATING MODES AND ON-CHIP MEMORY  
MC68HC11F1  
4-2  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
4.2.2 Memory Map  
$0000  
x000  
1
1024 BYTES RAM  
EXT  
EXT  
EXT  
x3FF  
y000  
$1000  
2
96-BYTE REGISTER BLOCK  
EXT  
y05F  
BF00 256 BYTES BOOTSTRAP ROM  
3
SPECIAL MODE  
INTERRUPT  
VECTORS  
BFC0  
BFFF  
zD00  
4
256 BYTES RESERVED  
(SPECIAL TEST MODE ONLY)  
zDFF  
zE00  
5
512 BYTES EEPROM  
NORMAL MODE  
INTERRUPT  
VECTORS  
FFC0  
FFFF  
$FE00  
$FFFF  
EXT  
zFFF  
SINGLE  
CHIP  
SPECIAL  
TEST  
EXPANDED  
BOOTSTRAP  
NOTES:  
1. RAM can be remapped to any 4-Kbyte boundary ($x000). "x" represents the value contained in  
RAM[3:0] in the init register.  
2. The register block can be remapped to any 4-Kbyte boundary ($y000). "y" represents the value contained in  
reg[3:0] in the init register.  
3. Special test mode vectors are externally addressed.  
4. In special test mode the address locations $zD00–$zDFF are not externally addressable.  
"z" represents the value of bits EE[3:0] in the config register.  
5. EEPROM can be remapped to any 4-Kbyte boundary ($z000). "z" represents the value contained in  
EE[3:0] in the config register.  
Figure 4-1 MC68HC11F1 Memory Map  
4.2.2.1 RAM  
The MC68HC11F1 microcontroller has 1024 bytes of fully static RAM that can be used  
for storing instructions, variables, and temporary data during program execution. RAM  
can be placed at any 4-Kbyte boundary in the 64 Kbyte address space by writing an  
appropriate value to the INIT register.  
RAM is initially located at $0000 in the memory map upon reset. Direct addressing  
mode can access the first 256 locations of RAM using a one-byte address operand.  
Direct mode accesses save program memory space and execution time.  
The on-chip RAM is a fully static memory. RAM contents can be preserved during pe-  
riods of processor inactivity by either of two methods, both of which reduce power con-  
sumption.  
OPERATING MODES AND ON-CHIP MEMORY  
TECHNICAL DATA  
4-3  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
During the software-based STOP mode, MCU clocks are stopped, but the MCU con-  
tinues to draw power from V . Power supply current is directly proportional to oper-  
DD  
ating frequency in CMOS integrated circuits and there is very little leakage when the  
clocks are stopped. These two factors reduce power consumption while the MCU is in  
STOP mode.  
To reduce power consumption to a minimum, V  
can be turned off, and the MODB/  
DD  
V
pin can be used to supply RAM power from either a battery back-up or a sec-  
STBY  
ond power supply. Although this method requires external hardware, it is very effec-  
tive. Refer to SECTION 2 PIN DESCRIPTIONS for information about how to connect  
the standby RAM power supply. Refer to SECTION 5 RESETS AND INTERRUPTS  
for a description of low power operation.  
V
DD  
MAX  
690  
V
DD  
4.7 k  
TO MODB/V  
OF M68HC11  
STBY  
V
OUT  
4.8 V  
NiCd  
V
BATT  
+
Figure 4-2 RAM Standby MODB/V  
Connections  
STBY  
4.2.2.2 Bootloader ROM  
The bootloader ROM is enabled at address $BF00–$BFFF during special bootstrap  
mode. The reset vector is fetched from this ROM and the MCU executes the bootload-  
er firmware. In normal modes, the bootloader ROM is disabled.  
4.2.2.3 EEPROM  
The MC68HC11F1 contains 512 bytes of electrically erasable programmable read-  
only memory (EEPROM). The default location for EEPROM is $FE00–$FFFF. Other  
locations can be chosen according to the values written to EE[3:0] in the CONFIG reg-  
ister. In single-chip and bootstrap modes, the EEPROM is forced on and located at the  
default position. In these modes, the EEPROM cannot be remapped. In special test  
mode, the EEPROM is disabled initially.  
4.2.3 Registers  
Table 4-1, a summary of registers and control bits, the registers are shown in ascend-  
ing order within the 96-byte register block. The addresses shown are for default block  
mapping ($1000–$105F), however, the register block can be remapped to any 4-Kbyte  
page ($x000–$x05F) by the INIT register.  
OPERATING MODES AND ON-CHIP MEMORY  
MC68HC11F1  
4-4  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
Table 4-1 Register and Control Bit Assignments  
The register block can be remapped to any 4-Kbyte boundary.  
Bit 7  
PA7  
6
PA6  
DDA6  
PG6  
DDG6  
PB6  
PF6  
PC6  
DDC6  
0
5
PA5  
DDA5  
PG5  
DDG5  
PB5  
PF5  
PC5  
DDC5  
PD5  
DDD5  
PE5  
FOC3  
OC1M5  
OC1D5  
13  
4
PA4  
DDA4  
PG4  
DDG4  
PB4  
PF4  
PC4  
DDC4  
PD4  
DDD4  
PE4  
FOC4  
OC1M4  
OC1D4  
12  
3
PA3  
DDA3  
PG3  
DDG3  
PB3  
PF3  
PC3  
DDC3  
PD3  
DDD3  
PE3  
FOC5  
OC1M3  
OC1D3  
11  
2
PA2  
DDA2  
PG2  
DDG2  
PB2  
PF2  
PC2  
DDC2  
PD2  
DDD2  
PE2  
0
1
Bit 0  
PA0  
$1000  
$1001  
$1002  
$1003  
$1004  
$1005  
$1006  
$1007  
$1008  
$1009  
$100A  
$100B  
$100C  
$100D  
$100E  
$100F  
$1010  
$1011  
$1012  
$1013  
$1014  
$1015  
$1016  
$1017  
$1018  
$1019  
$101A  
$101B  
$101C  
$101D  
$101E  
$101F  
$1020  
$1021  
$1022  
$1023  
$1024  
$1025  
$1026  
$1027  
PA1  
PORTA  
DDA7  
PG7  
DDA1  
DDA0 DDRA  
PG0 PORTG  
DDG0 DDRG  
PG1  
DDG7  
PB7  
DDG1  
PB1  
PB0  
PF0  
PC0  
PORTB  
PORTF  
PORTC  
PF7  
PF1  
PC7  
PC1  
DDC7  
0
DDC1  
DDC0 DDRC  
PD0 PORTD  
DDD0 DDRD  
PD1  
0
0
DDD1  
PE7  
PE6  
FOC2  
OC1M6  
OC1D6  
14  
PE1  
PE0  
0
PORTE  
FOC1  
OC1M7  
OC1D7  
Bit 15  
Bit 7  
0
CFORC  
0
0
0
OC1M  
0
0
0
OC1D  
10  
9
Bit 8  
Bit 0  
Bit 8  
Bit 0  
Bit 8  
Bit 0  
Bit 8  
Bit 0  
Bit 8  
Bit 0  
Bit 8  
Bit 0  
Bit 8  
Bit 0  
Bit 8  
Bit 0  
Bit 8  
Bit 0  
OL5  
TCNT (High)  
TCNT (Low)  
TIC1 (High)  
TIC1 (Low)  
TIC2 (High)  
TIC2 (Low)  
TIC3 (High)  
TIC3 (Low)  
TOC1 (High)  
TOC1 (Low)  
TOC2 (High)  
TOC2 (Low)  
TOC3 (High)  
TOC3 (Low)  
TOC4 (High)  
TOC4 (Low)  
TI4/O5 (High)  
TI4/O5 (Low)  
TCTL1  
6
5
4
3
2
1
Bit 15  
Bit 7  
14  
13  
12  
11  
10  
9
6
5
4
3
2
1
Bit 15  
Bit 7  
14  
13  
12  
11  
10  
9
6
5
4
3
2
1
Bit 15  
Bit 7  
14  
13  
12  
11  
10  
9
6
5
4
3
2
1
Bit 15  
Bit 7  
14  
13  
12  
11  
10  
9
6
5
4
3
2
1
Bit 15  
Bit 7  
14  
13  
12  
11  
10  
9
6
5
4
3
2
1
Bit 15  
Bit 7  
14  
13  
12  
11  
10  
9
6
5
4
3
2
1
9
Bit 15  
Bit 7  
14  
13  
12  
11  
10  
6
5
4
3
2
1
Bit 15  
Bit 7  
14  
13  
12  
11  
10  
9
6
5
4
3
2
1
OM2  
EDG4B  
OC1I  
OC1F  
TOI  
OL2  
EDG4A  
OC2I  
OC2F  
RTII  
RTIF  
PAEN  
6
OM3  
EDG1B  
OC3I  
OC3F  
PAOVI  
PAOVF  
PAMOD  
5
OL3  
EDG1A  
OC4I  
OC4F  
PAII  
PAIF  
PEDGE  
4
OM4  
EDG2B  
I4/O5I  
I4/O5F  
0
OL4  
EDG2A  
IC1I  
IC1F  
0
OM5  
EDG3B  
IC2I  
IC2F  
PR1  
0
EDG3A TCTL2  
IC3I  
IC3F  
PR0  
0
TMSK1  
TFLG1  
TMSK2  
TFLG2  
PACTL  
PACNT  
TOF  
0
0
0
0
I4/O5  
2
RTR1  
1
RTR0  
Bit 0  
Bit 7  
3
OPERATING MODES AND ON-CHIP MEMORY  
TECHNICAL DATA  
4-5  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
Table 4-1 Register and Control Bit Assignments (Continued)  
The register block can be remapped to any 4-Kbyte boundary.  
Bit 7  
SPIE  
SPIF  
Bit 7  
TCLR  
R8  
6
5
4
MSTR  
MODF  
4
3
2
1
Bit 0  
SPR0  
Bit 0  
$1028  
$1029  
$102A  
$102B  
$102C  
$102D  
$102E  
$102F  
$1030  
$1031  
$1032  
$1033  
$1034  
$1035  
$1036  
$1037  
$1038  
$1039  
$103A  
$103B  
$103C  
$103D  
$103E  
$103F  
$1040  
to  
SPE  
DWOM  
CPOL  
CPHA  
SPR1  
SPCR  
SPSR  
SPDR  
WCOL  
0
0
0
0
6
0
5
3
2
1
Bit 0  
SCP1  
SCP0  
M
RCKB  
SCR2  
SCR1  
SCR0 BAUD  
T8  
TCIE  
TC  
6
0
WAKE  
0
0
0
SCCR1  
SCCR2  
SCSR  
SCDR  
ADCTL  
ADR1  
ADR2  
ADR3  
ADR4  
TIE  
RIE  
ILIE  
IDLE  
4
TE  
RE  
RWU  
SBK  
0
TDRE  
Bit 7  
CCF  
Bit 7  
Bit 7  
Bit 7  
Bit 7  
0
RDRF  
OR  
NF  
FE  
5
3
2
1
Bit 0  
CA  
0
SCAN  
MULT  
4
CD  
CC  
CB  
6
5
5
5
5
0
3
2
1
Bit 0  
Bit 0  
Bit 0  
Bit 0  
6
4
3
2
1
6
4
3
3
2
2
1
1
6
4
0
PTCON  
BPRT3  
BPRT2  
BPRT1  
BPRT0 BPROT  
Reserved  
Reserved  
GWOM  
ADPU  
Bit 7  
CWOM  
CSEL  
6
CLK4X  
IRQE  
5
0
0
CME  
3
0
0
0
OPT2  
DLY  
4
FCME  
2
CR1  
1
CR0  
Bit 0  
OPTION  
COPRST  
ODD  
EVEN  
SMOD  
RAM2  
0
0
BYTE  
IRV  
ROW  
PSEL3  
REG3  
DISR  
1
ERASE  
PSEL2  
REG2  
FCM  
EELAT  
PSEL1  
REG1  
FCOP  
1
EEPGM PPROG  
PSEL0 HPRIO  
REG0 INIT  
RBOOT  
RAM3  
TILOP  
EE3`  
MDA  
RAM1  
OCCR  
EE1  
RAM0  
CBYP  
EE0  
0
TEST1  
EE2  
NOCOP  
EEON CONFIG  
Reserved  
$105B  
$105C  
$105D  
$105E  
$105F  
Reserved  
PSTHB CSSTRH  
PSIZB CSCTL  
IO1SA  
IO1EN  
GA15  
IO1SB  
IO1PL  
GA14  
IO2SA  
IO2EN  
GA13  
0
IO2SB  
IO2PL  
GA12  
GSTHA  
GCSPR  
GA11  
GSTHB  
PCSEN  
GA10  
PSTHA  
PSIZA  
0
0
CSGADR  
IO1AV  
IO2AV  
GNPOL  
GAVLD  
GSIZA  
GSIZB  
GSIZC CSGSIZ  
4.3 System Initialization  
Registers and bits that control initialization and the basic operation of the MCU are pro-  
tected against writes except under special circumstances. The following table lists reg-  
isters that can be written only once after reset or that must be written within the first 64  
cycles after reset.  
OPERATING MODES AND ON-CHIP MEMORY  
MC68HC11F1  
4-6  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
Table 4-2 Write Access Limited Registers  
Register  
Address  
Register  
Name  
Must be Written in  
First 64 Cycles  
Write One Time  
Only  
$x024  
$x035  
$x038  
$x039  
$x03C  
$x03D  
Timer Interrupt Mask 2 (TMSK2)  
Note 1  
Note 2  
No  
Block Protect Register (BPROT)  
System Configuration Options 2 (OPT2)  
System Configuration Options (OPTION)  
Highest Priority I-bit and Miscellaneous (HPRIO)  
RAM and I/O Map Register (INIT)  
Note 4  
Note 3  
No  
Note 5  
Note 6  
Yes  
Notes:  
1. Bits 1 and 0 can be written once only in first 64 cycles. When SMOD = 1, these bits can be written any time. All  
other bits can be written at any time.  
2. Bits can be written to zero (protection disabled) once only in first 64 cycles or at any time in special modes. Bits  
can be set to one at any time.  
3. Bits 5, 4, 2, 1, and 0 can be written once only in first 64 cycles. When SMOD = 1, bits 5, 4, 2, 1, and 0 can be  
written at any time. All other bits can be written at any time  
4. Bit 5 (CLK4X) can be written only one time.  
5. Bit 4 (IRV) can be written only one time.  
6. Can be written once in first 64 cycles after reset in normal modes or at any time in special modes.  
4.3.1 Mode Selection  
The four mode variations are selected by the logic levels present on the MODA and  
MODB pins at the rising edge of RESET. The MODA and MODB logic levels determine  
the logic state of SMOD and MDA control bits in the HPRIO register.  
After reset is released, the mode select pins no longer influence the MCU operating  
mode. In single-chip operating mode, the MODA pin is connected to a logic level zero.  
In expanded mode, MODA should be connected to V  
through a pull-up resistor of  
DD  
4.7 k. The MODA pin also functions as the load instruction register (LIR) pin when  
the MCU is not in reset. The open-drain active low LIR output pin drives low during the  
first E cycle of each instruction (opcode fetch). The MODB pin also functions as stand-  
by power input (V ), which allows RAM contents to be maintained in absence of  
STBY  
V
. Refer to APPENDIX A ELECTRICAL CHARACTERISTICS for V  
voltage  
DD  
STBY  
requirements.  
Refer to Table 4-3, which is a summary of mode pin operation, the mode control bits,  
and the four operating modes.  
Table 4-3 Hardware Mode Select Summary  
Input Levels  
at Reset  
Mode  
Control Bits in HPRIO  
(Latched at Reset)  
MODB  
MODA  
RBOOT  
SMOD  
MDA  
1
1
0
0
0
1
0
1
Single Chip  
Expanded  
0
0
1
0
0
0
1
1
0
1
0
1
Special Bootstrap  
Special Test  
OPERATING MODES AND ON-CHIP MEMORY  
TECHNICAL DATA  
4-7  
For More Information On This Product,  
Go to: www.freescale.com  
 
 
Freescale Semiconductor, Inc.  
A normal mode is selected when MODB is logic one during reset. One of three reset  
vectors is fetched from address $FFFA–$FFFF, and program execution begins from  
the address indicated by this vector. If MODB is logic zero during reset, the special  
mode reset vector is fetched from addresses $BFFA–$BFFF and software has access  
to special test features. Refer to SECTION 5 RESETS AND INTERRUPTS for infor-  
mation regarding reset vectors.  
4.3.1.1 HPRIO Register  
Bits in the HPRIO register select the highest priority interrupt level, select whether  
bootstrap ROM is present, and control visibility of internal reads by the CPU. After re-  
set, MDA and SMOD select the operating mode.  
HPRIO — Highest Priority I-Bit Interrupt and Miscellaneous  
$103C  
Bit 7  
6
5
4
IRV  
0
3
2
1
Bit 0  
RBOOT* SMOD*  
MDA*  
PSEL3  
PSEL2  
PSEL1  
PSEL0  
RESET:  
0
0
1
0
0
0
1
1
0
1
0
1
0
0
0
0
1
1
1
1
1
1
1
1
0
0
0
0
Single Chip  
Expanded  
Bootstrap  
0
1
1
Special Test  
*Reset states of RBOOT, SMOD, and MDA bits depend on hardware mode selection. Refer to Table 4-3.  
RBOOT — Read Bootstrap ROM  
Set to one out of reset in bootstrap mode. Valid while in special modes only. Can be  
read anytime. Can only be written in special modes.  
0 = Bootloader ROM disabled and not in map  
1 = Bootloader ROM enabled and in map at $BF00–$BFFF  
SMOD and MDA — Special Mode Select and Mode Select A  
The initial value of SMOD is the inverse of the logic level present on the MODB pin at  
the rising edge of reset. The initial value of MDA equals the logic level present on the  
MODA pin at the rising edge of reset.These two bits can be read at any time.They can  
be written at any time in special modes. Neither bit can be written is normal modes.  
SMOD cannot be set once it has been cleared. Refer to Table 4-3.  
IRV — Internal Read Visibility  
IRV can be written at any time in special modes (SMOD = 1). In normal modes (SMOD  
= 0) IRV can be written only once. In expanded and test modes, IRV determines  
whether internal read visibility is on or off. In single-chip and bootstrap modes, IRV has  
no meaning or effect.  
0 = No internal read visibility on external bus  
1 = Data from internal reads is driven out the external data bus.  
PSEL[3:0] — Priority Select Bits [3:0]  
Refer to 5.3.1 Highest Priority Interrupt and Miscellaneous Register.  
OPERATING MODES AND ON-CHIP MEMORY  
MC68HC11F1  
4-8  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
4.3.2 Initialization  
Because bits in the following registers control the basic configuration of the MCU, an  
accidental change of their values could cause serious system problems. The protec-  
tion mechanism, overridden in special operating modes, requires a write to the protect-  
ed bits only within the first 64 bus cycles after any reset, or only once after each reset.  
Table 4-2 summarizes the write access limited registers.  
4.3.2.1 CONFIG Register  
CONFIG controls the presence and position of the EEPROM in the memory map.  
CONFIG also enables the COP watchdog timer.  
CONFIG — System Configuration Register  
$103F  
Bit 7  
EE3  
1
6
EE2  
1
5
EE1  
1
4
EE0  
1
3
1
2
NOCOP  
P
1
1
Bit 0  
EEON  
RESET:  
1
1
P
0
Single Chip  
Bootstrap  
1
1
1
1
1
P(L)  
P
1
P
P
P
P
1
1
Expanded  
Special Test  
P
P
P
P
1
P(L)  
1
P indicates a previously programmed bit. P(L) indicates that the bit resets to the logic  
level held in the latch prior to reset, but the function of COP is controlled by DISR bit  
in TEST1 register.  
The CONFIG register consists of an EEPROM byte and static latches that control the  
start-up configuration of the MCU. The contents of the EEPROM byte are transferred  
into static working latches during reset sequences. The operation of the MCU is con-  
trolled directly by these latches and not by CONFIG itself. In normal modes, changes  
to CONFIG do not affect operation of the MCU until after the next reset sequence.  
When programming, the CONFIG register itself is accessed. When the CONFIG reg-  
ister is read, the static latches are accessed.  
These bits can be read at any time. The value read is the one latched into the register  
from the EEPROM cells during the last reset sequence. A new value programmed into  
this register cannot be read until after a subsequent reset sequence. Unused bits al-  
ways read as ones.  
In special test mode, the static latches can be written directly at any time. In all modes,  
CONFIG bits can only be programmed using the EEPROM programming sequence,  
and are neither readable nor active until latched via the next reset. Refer to 4.4.3 CON-  
FIG Register Programming.  
EE[3:0] — EEPROM Mapping Control  
EE[3:0] select the upper four bits of the EEPROM base address. In single-chip and  
bootstrap modes, EEPROM is forced to $FE00–$FFFF regardless of the value of  
EE[3:0].  
OPERATING MODES AND ON-CHIP MEMORY  
TECHNICAL DATA  
4-9  
For More Information On This Product,  
Go to: www.freescale.com  
 
Freescale Semiconductor, Inc.  
Table 4-4 EEPROM Mapping  
EE[3:0]  
0 0 0 0  
0 0 0 1  
0 0 1 0  
0 0 1 1  
0 1 0 0  
0 1 0 1  
0 1 1 0  
0 1 1 1  
1 0 0 0  
1 0 0 1  
1 0 1 0  
1 0 1 1  
1 1 0 0  
1 1 0 1  
1 1 1 0  
1 1 1 1  
EEPROM Position  
$0E00 – $0FFF  
$1E00 – $1FFF  
$2E00 – $2FFF  
$3E00 – $3FFF  
$4E00 – $4FFF  
$5E00 – $5FFF  
$6E00 – $6FFF  
$7E00 – $7FFF  
$8E00 – $8FFF  
$9E00 – $9FFF  
$AE00 – $AFFF  
$BE00 – $BFFF  
$CE00 – $CFFF  
$DE00 – $DFFF  
$EE00 – $EFFF  
$FE00 – $FFFF  
Bit 3 — Not implemented  
Always reads one  
NOCOP — COP System Disable  
0 = COP system enabled (forces reset on time-out)  
1 = COP system disabled  
Bit 1 — Not implemented  
Always reads one  
EEON — EEPROM Enable  
In single-chip modes EEON is forced to one (EEPROM enabled).  
0 = 512 bytes of EEPROM is disabled from the memory map  
1 = 512 bytes of EEPROM is present in the memory map  
4.3.2.2 INIT Register  
The internal registers used to control the operation of the MCU can be relocated on 4-  
Kbyte boundaries within the memory space with the use of INIT. This 8-bit special-pur-  
pose register can change the default locations of the RAM and control registers within  
the MCU memory map. It can be written only once within the first 64 E-clock cycles  
after a reset. It then becomes a read-only register.  
INIT — RAM and I/O Mapping Register  
$103D  
Bit 7  
RAM3  
0
6
RAM2  
0
5
RAM1  
0
4
RAM0  
0
3
REG3  
0
2
REG2  
0
1
REG1  
0
Bit 0  
REG0  
1
RESET:  
OPERATING MODES AND ON-CHIP MEMORY  
MC68HC11F1  
4-10  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
RAM[3:0] — RAM Map Position  
These four bits, which specify the upper hexadecimal digit of the RAM address, control  
position of RAM in the memory map. RAM can be positioned at the beginning of any  
4-Kbyte page in the memory map. Refer to Table 4-5.  
REG[3:0] — 128-Byte Register Block Position  
These four bits specify the upper hexadecimal digit of the address for the 128-byte  
block of internal registers. The register block is positioned at the beginning of any 4-  
Kbyte page in the memory map. Refer to Table 4-5.  
Table 4-5 RAM and Register Mapping  
RAM[3:0]  
0000  
0001  
0010  
0011  
0100  
0101  
0110  
0111  
1000  
1001  
1010  
1011  
1100  
1101  
1110  
1111  
Location  
REG[3:0]  
0000  
0001  
0010  
0011  
0100  
0101  
0110  
0111  
1000  
1001  
1010  
1011  
1100  
1101  
1110  
1111  
Location  
$0000–$03FF  
$1000–$13FF  
$2000–$23FF  
$3000–$33FF  
$4000–$43FF  
$5000–$53FF  
$6000–$63FF  
$7000–$73FF  
$8000–$83FF  
$9000–$93FF  
$A000–$A3FF  
$B000–$B3FF  
$C000–$C3FF  
$D000–$D3FF  
$E000–$E3FF  
$F000–$F3FF  
$0000–$005F  
$1000–$105F  
$2000–$205F  
$3000–$305F  
$4000–$405F  
$5000–$505F  
$6000–$605F  
$7000–$705F  
$8000–$805F  
$9000–$905F  
$A000–$A05F  
$B000–$B05F  
$C000–$C05F  
$D000–$D05F  
$E000–$E05F  
$F000–$F05F  
When the memory map has the 96-byte register block mapped at the same location  
as RAM, the registers have priority and the lower 96 bytes of RAM are inaccessible.  
No harmful conflicts occur due to a hardware resource priority scheme. On-chip reg-  
isters have the highest priority of all on-chip resources, followed by on-chip RAM, boot-  
strap ROM, and on-chip EEPROM.  
4.3.2.3 OPTION Register  
The 8-bit special-purpose OPTION register sets internal system configuration options  
during initialization. In single-chip and expanded modes (SMOD = 0), IRQE, DLY, FC-  
ME, and CR[1:0] can be written only once and only in the first 64 cycles after a reset.  
This minimizes the possibility of any accidental changes to the system configuration.  
In special test and bootstrap modes (SMOD = 1), these bits can be written at any time.  
OPTION — System Configuration Options  
$1039  
Bit 7  
ADPU  
0
6
CSEL  
0
5
IRQE*  
0
4
DLY*  
0
3
CME  
0
2
FCME*  
0
1
CR1*  
0
Bit 0  
CR0*  
0
RESET:  
*Can be written only once in first 64 cycles out of reset in normal modes or at any time in special modes.  
OPERATING MODES AND ON-CHIP MEMORY  
TECHNICAL DATA  
4-11  
For More Information On This Product,  
Go to: www.freescale.com  
 
Freescale Semiconductor, Inc.  
ADPU — A/D Power-Up  
Refer to SECTION 10 ANALOG-TO-DIGITAL CONVERTER.  
0 = A/D system disabled  
1 = A/D system power enabled  
CSEL — Clock Select  
Selects alternate clock source for on-chip EEPROM and A/D charge pumps. On-chip  
RC clock should be used when E clock falls below 1 MHz. Refer to SECTION 10 AN-  
ALOG-TO-DIGITAL CONVERTER.  
0 = A/D and EEPROM use system E clock  
1 = A/D and EEPROM use internal RC clock  
IRQE — Configure IRQ for Falling Edge-Sensitive Operation  
Refer to SECTION 5 RESETS AND INTERRUPTS.  
0 = Low level-sensitive operation.  
1 = Falling edge-sensitive only operation.  
DLY — Enable Oscillator Start-up Delay  
Refer to SECTION 5 RESETS AND INTERRUPTS.  
0 = The oscillator start-up delay coming out of STOP is bypassed and the MCU re-  
sumes processing within about four bus cycles.  
1 = A delay of approximately 4000 E-clock cycles is imposed as the MCU is started  
up from the STOP power-saving mode.  
CME — Clock Monitor Enable  
In order to use both STOP and clock monitor, the CME bit must be written to zero be-  
fore executing STOP, then written to one after recovering from STOP. Refer to SEC-  
TION 5 RESETS AND INTERRUPTS.  
0 = Clock monitor disabled  
1 = Clock monitor enabled  
FCME — Force Clock Monitor Enable  
When FCME equals one, slow or stopped clocks will cause a clock failure reset. To  
use STOP mode, FCME must always equal zero. Refer to SECTION 5 RESETS AND  
INTERRUPTS.  
0 = Clock monitor follows state of CME bit  
1 = Clock monitor enabled and cannot be disabled until next reset  
CR[1:0] — COP Timer Rate Select Bits  
These control bits determine a scaling factor for the watchdog timer. Refer to SEC-  
TION 5 RESETS AND INTERRUPTS.  
4.3.2.4 OPT2 Register  
The system configuration options 2 register (OPT2) controls three additional system  
options.  
OPERATING MODES AND ON-CHIP MEMORY  
MC68HC11F1  
4-12  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
OPT2 — System Configuration Options 2  
$1038  
Bit 7  
GWOM  
0
6
CWOM  
0
5
CLK4X  
0
4
0
3
0
2
0
1
0
Bit 0  
RESET:  
0
GWOM — Port G Wired-OR Mode  
Refer to SECTION 6 PARALLEL INPUT/OUTPUT.  
0 = Port G operates normally.  
1 = Port G outputs are open-drain type.  
CWOM — Port C Wired-OR Mode  
Refer to SECTION 6 PARALLEL INPUT/OUTPUT.  
0 = Port C operates normally.  
1 = Port C outputs are open-drain type.  
CLK4X — 4XOUT Clock Enable  
The 4XOUT signal, when enabled, is a buffered XTAL signal and is four times the fre-  
quency of the E-clock. This buffered clock is intended to synchronize external devices  
with the MCU. Refer to SECTION 2 PIN DESCRIPTIONS.  
0 = The 4XOUT pin is driven low.  
1 = The 4XOUT signal is driven on the 4XOUT pin.  
Bits [4:0] — Not implemented  
Always read zero  
4.3.2.5 Block Protect Register (BPROT)  
BPROT prevents accidental writes to EEPROM and the CONFIG register. The bits in  
this register can be written to zero during the first 64 E-clock cycles after reset in the  
normal modes. Once the bits are cleared to zero, the EEPROM array and the CONFIG  
register can be programmed or erased. Setting the bits in the BPROT register to logic  
one protects the EEPROM and CONFIG register until the next reset. Refer to Table  
4-6.  
BPROT — Block Protect  
$1035  
Bit 7  
6
0
5
0
4
PTCON  
1
3
BPRT3  
1
2
BPRT2  
1
1
BPRT1  
1
Bit 0  
BPRT0  
1
RESET:  
0
Bits [7:5] — Not implemented  
Always read zero  
PTCON — Protect for CONFIG  
0 = CONFIG register can be programmed or erased normally  
1 = CONFIG register cannot be programmed or erased  
BPRT[3:0] — Block Protect Bits for EEPROM  
0 = Protection disabled for associated block  
1 = Protection enabled for associated block  
OPERATING MODES AND ON-CHIP MEMORY  
TECHNICAL DATA  
4-13  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
Table 4-6 EEPROM Block Protection  
Bit Name  
BPRT0  
BPRT1  
BPRT2  
BPRT3  
Block Protected  
$xE00–$xE1F  
$xE20–$xE5F  
$xE60–$xEDF  
$xEE0–$xFFF  
Block Size  
32 Bytes  
64 Bytes  
128 Bytes  
288 Bytes  
4.4 EEPROM and CONFIG Register  
The 512-byte EEPROM array and the single-byte CONFIG register are implemented  
with the same type of memory cells. The CONFIG register is a separate address lo-  
cated within the register block rather than in the EEPROM array. Unlike other registers  
within the register block, the CONFIG register can only be altered using the EEPROM  
programming procedure.  
4.4.1 EEPROM  
The 512-byte on-board EEPROM is initially located from $FE00 to $FFFF after reset  
in single-chip modes. It can be mapped to any other 4-Kbyte boundary by program-  
ming bits EE[3:0] in the CONFIG register. The EEPROM is enabled by the EEON bit  
in the CONFIG register. Programming and erasing is controlled by the PPROG regis-  
ter.  
Unlike information stored in ROM, data in the 512 bytes of EEPROM can be erased  
and reprogrammed under software control. Because programming and erasing oper-  
ations use an on-chip charge pump, a separate external power supply is not required.  
Use of the block protect register (BPROT) prevents inadvertent writes to (or erases of)  
blocks of EEPROM. The CSEL bit in the OPTION register selects an on-chip oscillator  
clock for programming and erasing while operating at frequencies below 1 MHz.  
4.4.1.1 EEPROM Programming  
An exact register access sequence must be followed to allow successful programming  
and erasure of the EEPROM. The following procedures for modifying the EEPROM  
and CONFIG register detail the sequence. If an attempt is made to set both EELAT  
and EEPGM bits in the same write cycle and this attempt occurs before the required  
write cycle with the EELAT bit set, then neither bit is set. If a write to an EEPROM ad-  
dress is performed while the EEPGM bit is set, the write is ignored, and the program-  
ming operation in progress is not disturbed. If no EEPROM address is written between  
the point at which EELAT is set and EEPGM is set, then no program or erase operation  
occurs. These safeguards are included to prevent accidental EEPROM changes in  
cases of program runaway. If the frequency of the E clock is 1 MHz or less, the CSEL  
bit in the OPTION register must be set to select the internal RC clock.  
When the EELAT bit in the PPROG register is cleared, the EEPROM can be read as  
if it were a ROM. The block protect register has no effect during reads. During EE-  
PROM programming, the ROW and BYTE bits of PPROG are not used.  
OPERATING MODES AND ON-CHIP MEMORY  
MC68HC11F1  
4-14  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
Recall that zeros must be erased by a separate erase operation before programming.  
The following example of how to program an EEPROM byte assumes that the appro-  
priate bits in BPROT have been cleared and the data to be programmed is present in  
accumulator A.  
PROG  
LDAB  
STAB  
STAA  
LDAB  
STAB  
JSR  
#$02  
EELAT=1, EEPGM=0  
$103B  
$FE00  
#$03  
Set EELAT bit  
Store data to EEPROM address  
EELAT=1, EEPGM=1  
$103B  
DLY10  
$103B  
Turn on programming voltage  
Delay 10 ms  
CLR  
Turn off high voltage and set to READ mode  
4.4.1.2 EEPROM Bulk Erase  
To erase the EEPROM, ensure that the proper bits of the BPROT register are cleared,  
then complete the following steps using the PPROG register:  
1. Write to PPROG with the ERASE, EELAT, and appropriate BYTE and ROW  
bits set.  
2. Write to the appropriate EEPROM address with any data. Row erase only re-  
quires a write to any location in the row. Bulk erase is accomplished by writing  
to any location in the array.  
3. Write to PPROG with ERASE, EELAT, EEPGM, and the appropriate BYTE and  
ROW bits set.  
4. Delay for 10 ms or more, as appropriate.  
5. Clear the EEPGM bit in PPROG to turn off the high voltage.  
6. Return to step 1 for next byte or row or proceed to step 7.  
7. Clear the PPROG register to reconfigure the EEPROM address and data buses  
for normal operation.  
The following is an example of how to bulk erase the 512-byte EEPROM. The CONFIG  
register is not affected in this example. When bulk erasing the CONFIG register, CON-  
FIG and the 512-byte array are all erased.  
BULKE  
LDAB  
STAB  
STAB  
LDAB  
STAB  
JSR  
#$06  
$103B  
$FE00  
#$07  
ERASE=1, EELAT=1, EEPGM=0  
Set EELAT bit  
Store any data to any EEPROM address  
EELAT=1, EEPGM=1  
$103B  
DLY10  
$103B  
Turn on programming voltage  
Delay 10 ms  
CLR  
Turn off high voltage and set to READ mode  
4.4.1.3 EEPROM Row Erase  
The following example shows how to perform a fast erase of large sections of EE-  
PROM and assumes that index register X contains the address of a location in the de-  
sired row.  
OPERATING MODES AND ON-CHIP MEMORY  
TECHNICAL DATA  
4-15  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
ROWE  
LDAB  
STAB  
STAB  
LDAB  
STAB  
JSR  
#$0E  
$103B  
0,X  
ROW=1, ERASE=1, EELAT=1, EEPGM=0  
Set to ROW erase mode  
Store any data to any address in ROW  
ROW=1, ERASE=1, EELAT=1, EEPGM=1  
Turn on high voltage  
#$0F  
$103B  
DLY10  
$103B  
Delay 10 ms  
CLR  
Turn off high voltage and set to READ mode  
4.4.1.4 EEPROM Byte Erase  
The following is an example of how to erase a single byte of EEPROM and assumes  
that index register X contains the address of the byte to be erased.  
BYTEE  
LDAB  
STAB  
STAB  
LDAB  
STAB  
JSR  
#$16  
BYTE=1, ROW=0, ERASE=1, EELAT=1, EEPGM=0  
Set to BYTE erase mode  
$103B  
0,X  
Store any data to address to be erased  
BYTE=1, ROW=0, ERASE=1, EELAT=1, EEPGM=1  
Turn on high voltage  
#$17  
$103B  
DLY10  
$103B  
Delay 10 ms  
CLR  
Turn off high voltage and set to READ mode  
4.4.2 PPROG EEPROM Programming Control Register  
Bits in PPROG register control parameters associated with EEPROM programming.  
PPROG — EEPROM Programming Control  
$103B  
Bit 7  
ODD  
0
6
EVEN  
0
5
0
4
BYTE  
0
3
ROW  
0
2
ERASE  
0
1
EELAT  
0
Bit 0  
EEPGM  
0
RESET:  
ODD — Program Odd Rows in Half of EEPROM (TEST)  
EVEN — Program Even Rows in Half of EEPROM (TEST)  
Bit 5 — Not implemented  
Always reads zero  
BYTE — Byte/Other EEPROM Erase Mode  
0 = Row or bulk erase mode used  
1 = Erase only one byte of EEPROM  
ROW — Row/All EEPROM Erase Mode (only valid when BYTE = 0)  
0 = All 512 bytes of EEPROM erased  
1 = Erase only one 16-byte row of EEPROM  
OPERATING MODES AND ON-CHIP MEMORY  
MC68HC11F1  
4-16  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
Table 4-7 EEPROM Erase Mode Control  
BYTE  
ROW  
Action  
Bulk Erase (All 512 Bytes)  
Row Erase (16 Bytes)  
Byte Erase  
0
0
1
1
0
1
0
1
Byte Erase  
ERASE — Erase/Normal Control for EEPROM  
Can be read or written any time.  
0 = Normal read or program mode  
1 = Erase mode  
EELAT — EEPROM Latch Control  
Can be read or written any time. When EELAT equals one, writes to EEPROM cause  
address and data to be latched.  
0 = EEPROM address and data bus configured for normal reads  
1 = EEPROM address and data bus configured for programming or erasing  
EEPGM — EEPROM Program Command  
Can be read any time. Can only be written while EELAT = 1.  
0 = Program or erase voltage switched off to EEPROM array  
1 = Program or erase voltage switched on to EEPROM array  
4.4.3 CONFIG Register Programming  
Because the CONFIG register is implemented with EEPROM cells, use EEPROM pro-  
cedures to erase and program this register. The procedure for programming is the  
same as for programming a byte in the EEPROM array, except that the CONFIG reg-  
ister address is used. CONFIG can be programmed or erased (including byte erase)  
while the MCU is operating in any mode, provided that PTCON in BPROT is clear. To  
change the value in the CONFIG register, complete the following procedure. Do not  
initiate a reset until the procedure is complete. The new value will not take effect until  
after the next reset sequence.  
1. Erase the CONFIG register.  
2. Program the new value to the CONFIG address.  
3. Initiate reset.  
CONFIG — System Configuration Register  
$103F  
Bit 7  
EE3  
1
6
EE2  
1
5
EE1  
1
4
EE0  
1
3
1
2
NOCOP  
P
1
1
Bit 0  
EEON  
RESET:  
1
1
P
0
Single Chip  
Bootstrap  
1
1
1
1
1
P(L)  
P
1
P
P
P
P
1
1
Expanded  
Special Test  
P
P
P
P
1
P(L)  
1
P indicates a previously programmed bit. P(L) indicates that the bit resets to the logic  
level held in the EEPROM bit prior to reset, but the function of COP is controlled by  
DISR bit in TEST1 register.  
OPERATING MODES AND ON-CHIP MEMORY  
TECHNICAL DATA  
4-17  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
For a description of the bits contained in the CONFIG register refer to 4.3.2.1 CONFIG  
Register.  
4.5 Chip Selects  
The function of the chip selects is to minimize the amount of external glue logic needed  
to interface the MCU to external devices. The MC68HC11F1 has four software config-  
ured chip selects that can be enabled in expanded modes. The chip selects for I/O  
(CSIO1 and CSIO2) are used for I/O expansion. The program chip select (CSPROG)  
is used with an external memory that contains the program code and reset vectors.  
The general-purpose chip select (CSGEN) is the most flexible and is used to enable  
external devices.  
Such factors as polarity, block size, base address and clock stretching can be con-  
trolled using the four chip-select control registers. When a port G pin is not used for  
chip select functions it can be used for general-purpose I/O.  
When enabled, a chip select signal is asserted whenever the CPU makes an access  
to a designated range of addresses. Bus control signals and chip select signals are  
synchronous with the external E clock signal. For more information refer to Table A–  
7. Expansion Bus Timing in APPENDIX A ELECTRICAL CHARACTERISTICS. The  
length of the external E clock cycle to which the external device is synchronized can  
be stretched to accommodate devices that are slower than the MCU.  
4.5.1 Program Chip Select  
The program chip select (CSPROG) is active in the range of memory where the main  
program exists. Refer to Figure 4-3.  
When enabled, the CSPROG is active during address valid time and is an active-low  
signal. Although the general-purpose chip select has priority over the program chip se-  
lect, CSPROG can be raised to a higher priority level by setting the GCSPR bit in  
CSCTL register. Bits in CSCTL enable the program chip select and determine its ad-  
dress range and priority level. Bits in CSSTRH select from zero to three clock cycles  
of delay.  
4.5.2 I/O Chip Selects  
The I/O chip selects (CSIO1 and CSIO2) are fixed in size and fill the remainder of the  
4-Kbyte block occupied by the register block. CSIO1 is mapped at $x060–$x7FF and  
CSIO2 is mapped at $x800–$xFFF, where “x” corresponds to the high-order nibble of  
the register block base address, represented by the value contained in REG[3:0] in the  
INIT register.  
Bits in the CSCTL register determine the polarity of the active state and enable both I/  
O chip selects. Bits in CSGSIZ select whether each chip select is active for address-  
valid or E-valid time. Bits in CSSTRH select from zero to three clock cycles of delay.  
Refer to Figure 4-3.  
OPERATING MODES AND ON-CHIP MEMORY  
MC68HC11F1  
4-18  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
$0000  
0000 PSIZ[A:B] = 0:0  
64K  
$1000  
$8000  
x000  
x05F  
x060  
x7FF  
x800  
xFFF  
96-BYTE REGISTER  
BLOCK  
PROGRAM CHIP SELECT  
(CSPROG)  
REMAPPABLE TO  
4-KBYTE BOUNDARY  
I/O CHIP SELECT 1  
(CSIO1)  
I/O CHIP SELECT 2  
(CSIO2)  
PSIZ[A:B] = 0:1  
8000 32K  
$C000  
$E000  
PSIZ[A:B] = 1:0  
C000 16K  
PSIZ[A:B] = 1:1  
E000 8K  
FFC0  
VECTORS  
$FE00  
$FFFF  
FFFF  
EXPANDED  
MODE  
Figure 4-3 Address Map for I/O and Program Chip Selects  
4.5.3 General-Purpose Chip Select  
The general-purpose chip select (CSGEN) is the most flexible and has the most con-  
trol bits. Polarity of the active state, E-valid or address-valid timing, size, starting ad-  
dress, and clock delay are all programmable.  
A single bit in CSCTL selects a priority between CSGEN and CSPROG. Bits in CSG-  
SIZ select between address valid or E-clock valid timing, determine the polarity of the  
active state and the address range of CSGEN. The value contained in the CSGADR  
register determines the starting address for CSGEN. Depending on the size selected  
for CSGEN, some bits in CSGADR will be invalid (don’t cares). Note that CSGEN is  
disabled when a size of zero is selected. Refer to Figure 4-4.  
OPERATING MODES AND ON-CHIP MEMORY  
TECHNICAL DATA  
4-19  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
$0000  
EXP  
MODE  
ADDR  
SPACE  
$FFFF  
VALID BASE ADDR BITS:  
GSIZA : GSIZB : GSIZC:  
SIZE:  
N/A  
GA15  
GA[15:14]  
GA[15:13]  
GA[15:12]  
GA[15:11]  
GA[15:10]  
GA[15:10]  
0 : 0 : 0  
64K  
0 : 0 : 1  
32K  
0 : 1 : 0  
16K  
0 : 1 : 1  
8K  
1 : 0 : 0  
4K  
1 : 0 : 1  
2K  
1 : 1 : 0  
1K  
1 : 1 : 1  
DISABLED  
NOTE: These examples assume a starting address of $0000.  
Figure 4-4 Address Map for General-Purpose Chip Select  
CSSTRH — Chip Select Clock Stretch Select  
$105C  
Bit 7  
IO1SA  
0
6
IO1SB  
0
5
IO2SA  
0
4
IO2SB  
0
3
GSTHA  
0
2
GSTHB  
0
1
PSTHA  
0
Bit 0  
PSTHB  
0
RESET:  
Table 4-8 Chip Select Clock Stretch Control  
Bit A  
Bit B  
Clock Stretch Selected  
0
0
1
1
0
1
0
1
None  
1 cycle  
2 cycles  
3 cycles  
IO1SA–IO1SB — I/O Chip Select 1 Clock Stretch Select  
Refer to Table 4-8.  
IO2SA–IO2SB — I/O Chip Select 2 Clock Stretch Select  
Refer to Table 4-8.  
GSTHA–GSTHB — General-Purpose Chip Select Clock Stretch Select  
Refer to Table 4-8.  
PSTHA–PSTHB — Program Chip Select Clock Stretch Select  
Refer to Table 4-8.  
OPERATING MODES AND ON-CHIP MEMORY  
MC68HC11F1  
4-20  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
 
 
Freescale Semiconductor, Inc.  
CSCTL — Chip Select Control  
$105D  
Bit 7  
IO1EN  
0
6
IO1PL  
0
5
IO2EN  
0
4
IO2PL  
0
3
2
1
PSIZA  
0
Bit 0  
PSIZB  
0
GCSPR PCSEN*  
RESET:  
0
*PCSEN is set out of reset in expanded modes and cleared in single-chip modes.  
IO1EN — I/O Chip Select 1 Enable  
0 = CSIO1 is disabled and port G bit 5 is general-purpose I/O.  
1 = CSIO1 is enabled and uses port G bit 5.  
IO1PL — I/O Chip Select 1 Polarity Select  
0 = CSIO1 active low  
1 = CSIO1 active high  
IO2EN — I/O Chip Select 2 Enable  
0 = CSIO2 is disabled and port G bit 4 is general-purpose I/O.  
1 = CSIO2 is enabled and uses port G bit 4.  
IO2PL — I/O Chip Select 2 Polarity Select  
0 = CSIO2 active low  
1 = CSIO2 active high  
GCSPR — General-Purpose Chip Select Priority  
0 = Program chip select has priority over general-purpose chip select  
1 = General-purpose chip select has priority over program chip select  
PCSEN — Program Chip Select Enable  
This bit is set out of reset in expanded modes and cleared in single-chip modes.  
0 = CSPROG disabled and port G bit 7 available as general-purpose I/O  
1 = CSPROG enabled out of reset and uses port G bit 7 pin  
PSIZA, PSIZB — Program Chip Select Size (A or B)  
Table 4-9 Program Chip Select Size Control  
PSIZA  
PSIZB  
Size (Bytes)  
64 K  
Address Range  
$0000–$FFFF  
$8000–$FFFF  
$C000–$FFFF  
$E000–$FFFF  
0
0
1
1
0
1
0
1
32 K  
16 K  
8 K  
CSGADR — General-Purpose Chip Select Address Register  
$105E  
Bit 7  
GA15  
0
6
GA14  
0
5
GA13  
0
4
GA12  
0
3
GA11  
0
2
GA10  
0
1
0
Bit 0  
RESET:  
0
GA[15:10] — General-Purpose Chip Select Base Address  
GA[15:10] correspond to MCU address bits ADDR[15:10] and select the starting ad-  
dress of the general-purpose chip select's address range. Which bits are valid de-  
pends upon the size selected by GSIZA–GSIZC in CSGSIZ register. Refer to the  
following table and to Figure 4-4.  
OPERATING MODES AND ON-CHIP MEMORY  
TECHNICAL DATA  
4-21  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
Table 4-10 General-Purpose Chip Select Starting Address  
Size (Bytes)  
Valid Starting Address  
Bits  
0 K (Disabled)  
None  
1 K  
2 K  
GA[15:10]  
GA[15:11]  
GA[15:12]  
GA[15:13]  
GA[15:14]  
GA15  
4 K  
8 K  
16 K  
32 K  
64 K  
None  
CSGSIZ — General-Purpose Chip Select Size Control  
$105F  
Bit 7  
IO1AV  
0
6
IO2AV  
0
5
0
4
GNPOL  
0
3
GAVLD  
0
2
GSIZA  
1
1
GSIZB  
1
Bit 0  
GSIZC  
1
RESET:  
IO1AV — I/O Chip Select 1 Address Valid  
0 = I/O chip select 1 is active during E-clock valid time (E-clock high)  
1 = I/O chip select 1 is active during address valid time  
IO2AV — I/O Chip Select 2 Address Valid  
0 = I/O chip select 1 is active during E-clock valid time (E-clock high)  
1 = I/O chip select 1 is active during address valid time  
GNPOL — General-Purpose Chip Select Polarity Select  
0 = CSGEN is active low  
1 = CSGEN is active high  
GAVLD — General-Purpose Chip Select Address Valid Select  
0 = CSGEN is valid during E-clock valid time (E-clock high)  
1 = CSGEN is valid during address valid time  
G1SZA–G1SZC — General-Purpose Chip Select Size  
Refer to Table 4-11.  
Table 4-11 General-Purpose Chip Select Size Control  
GSIZA  
GSIZB  
GSIZC  
Size (Bytes)  
0
0
0
0
1
1
1
1
0
0
1
1
0
0
1
1
0
1
0
1
0
1
0
1
64 K  
32 K  
16 K  
8 K  
4 K  
2 K  
1 K  
0 K (Disabled)  
OPERATING MODES AND ON-CHIP MEMORY  
MC68HC11F1  
4-22  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
 
Freescale Semiconductor, Inc.  
Table 4-12 Chip Select Control Parameter Summary  
CSIO1  
Enable  
Valid  
IO1EN in CSCTL —  
IO1AV in CSGSIZ —  
IO1PL in CSCTL —  
Fixed —  
1 = On, off at reset (0)  
1 = Address valid, 0 = E valid  
1 = Active high, 0 = Active low  
($x060–$x7FF)  
Polarity  
Size  
Start Address  
Stretch  
$x060 —  
“x” is determined by REG[3:0] in INIT  
IO1SA–IO1SB in CSSTRH — 0, 1, 2, or 3 E clocks  
CSIO2  
Enable  
Valid  
IO2EN in CSCTL —  
IO2AV in CSGSIZ —  
IO2PL in CSCTL —  
Fixed —  
1 = On, off at reset (0)  
1 = Address valid, 0 = E valid  
1 = Active high, 0 = Active low  
($x800–$xFFF)  
Polarity  
Size  
Start Address  
Stretch  
$x800 —  
“x” is determined by REG[3:0] in INIT  
IO2SA–IO2SB in CSSTRH — 0, 1, 2, or 3 E clocks  
CSPROG  
Enable  
PCSEN in CSCTL —  
1 = On, on after reset in expanded modes  
off after reset in single-chip modes  
Valid  
Fixed (Address valid)  
Fixed (Active low)  
Polarity  
Size  
PSIZA–PSIZB —  
in CSCTL  
0:0 = 64K ($0000–$FFFF)  
0:1 = 32K ($8000–$FFFF)  
1:0 = 16K ($C000–$FFFF)  
1:1 = 8K ($E000–$FFFF)  
Start Address  
Stretch  
Fixed (determined by size)  
PSTHA–PSTHB in CSSTRH — 0, 1, 2, or 3 E clocks  
1 cycle after reset in expanded mode  
no delay after reset in all other modes  
Priority  
Enable  
GCSPR in CSCTL —  
1 = CSGEN above CSPROG  
0 = CSPROG above CSGEN  
CSGEN  
Set size to 0K to disable — 1 = CSGEN above CSPROG  
0 = CSPROG above CSGEN  
Valid  
GAVLD in CSGSIZ —  
GNPOL in CSGSIZ —  
Address valid or E valid  
Active high or low  
Polarity  
Size  
GSIZA–GSIZC in CSGSIZ — Refer to Table 4–12  
GA[15:10] in CSGADR  
Start Address  
Stretch  
GSTHA–GSTHB in CSSTRH — 0, 1, 2, or 3 E clocks  
OPERATING MODES AND ON-CHIP MEMORY  
TECHNICAL DATA  
4-23  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
OPERATING MODES AND ON-CHIP MEMORY  
MC68HC11F1  
4-24  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
SECTION 5 RESETS AND INTERRUPTS  
Resets and interrupt operations load the program counter with a vector that points to  
a new location from which instructions are to be fetched. A reset causes the internal  
control registers to be initialized to a known state. The program counter is loaded with  
a known starting address and execution of instructions begins. An interrupt temporarily  
suspends normal program execution while an interrupt service routine is being execut-  
ed. After an interrupt has been serviced, the main program resumes as if there had  
been no interruption.  
5.1 Resets  
There are four possible sources of reset. Power-on reset (POR) and external reset  
share the normal reset vector. The computer operating properly (COP) reset and the  
clock monitor reset each has its own vector.  
5.1.1 Power-On Reset  
A positive transition on V  
generates a power-on reset (POR), which is used only for  
DD  
power-up conditions. POR cannot be used to detect drops in power supply voltages.  
A 4064 t (internal clock cycle) delay after the oscillator becomes active allows the  
cyc  
clock generator to stabilize. If RESET is at logical zero at the end of 4064 t , the CPU  
cyc  
remains in the reset condition until RESET goes to logical one.  
It is important to protect the MCU during power transitions. To protect data in EE-  
PROM, M68HC11 systems need an external circuit that holds the RESET pin low  
whenever V  
is below the minimum operating level. This external voltage level de-  
DD  
tector, or other external reset circuits, are the usual source of reset in a system. The  
POR circuit only initializes internal circuitry during cold starts. Refer to Figure 2–3.  
5.1.2 External Reset (RESET)  
The CPU distinguishes between internal and external reset conditions by sensing  
whether the reset pin rises to a logic one in less than two E-clock cycles after an inter-  
nal device releases reset. When a reset condition is sensed, the RESET pin is driven  
low by an internal device for four E-clock cycles, then released. Two E-clock cycles  
later it is sampled. If the pin is still held low, the CPU assumes that an external reset  
has occurred. If the pin is high, it indicates that the reset was initiated internally by ei-  
ther the COP system or the clock monitor. It is not advisable to connect an external  
resistor capacitor (RC) power-up delay circuit to the reset pin of M68HC11 devices be-  
cause the circuit charge time constant can cause the device to misinterpret the type of  
reset that occurred.  
RESETS AND INTERRUPTS  
TECHNICAL DATA  
5-1  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
5.1.3 Computer Operating Properly (COP) Reset  
The MCU includes a COP system to help protect against software failures. When the  
COP is enabled, the software is responsible for keeping a free-running watchdog timer  
from timing out. When the software is no longer being executed in the intended se-  
quence, a system reset is initiated.  
The state of the NOCOP bit in the CONFIG register determines whether the COP sys-  
tem is enabled or disabled. To change the enable status of the COP system, change  
the contents of the CONFIG register and then perform a system reset. In the special  
test and bootstrap operating modes, the COP system is initially inhibited by the disable  
resets (DISR) control bit in the TEST1 register. The DISR bit can subsequently be writ-  
ten to zero to enable COP resets.  
The COP timer rate control bits CR[1:0] in the OPTION register determine the COP  
time-out period. The system E clock is divided by the values shown in Table 5-1. After  
reset, these bits are zero, which selects the fastest time-out period. In normal operat-  
ing modes, these bits can only be written once within 64 bus cycles after reset.  
Table 5-1 COP Timer Rate Selection  
CR[1:0]  
Divide  
E By  
XTAL = 8.0 MHz Time-  
out  
XTAL = 12.0 MHz  
Time-out  
XTAL = 16.0 MHz  
Time-out  
–0 ms, +16.4 ms  
–0 ms, +10.9 ms  
–0 ms, +8.2 ms  
15  
2
0 0  
0 1  
1 0  
1 1  
16.384 ms  
65.536 ms  
262.14 ms  
1.049 s  
10.923 ms  
43.691 ms  
174.76 ms  
699.05 ms  
3.0 MHz  
8.192 ms  
32.768 ms  
131.07 ms  
524.29 ms  
4.0 MHz  
17  
2
19  
2
21  
2
E =  
2.0 MHz  
COPRST — Arm/Reset COP Timer Circuitry  
$103A  
Bit 7  
6
6
0
5
5
0
4
4
0
3
3
0
2
2
0
1
1
0
Bit 0  
7
0
0
0
RESET:  
Complete the following reset sequence to service the COP timer. Write $55 to CO-  
PRST to arm the COP timer clearing mechanism. Then write $AA to COPRST to clear  
the COP timer. Performing instructions between these two steps is possible as long  
as both steps are completed in the correct sequence before the timer times out.  
5.1.4 Clock Monitor Reset  
The clock monitor circuit is based on an internal RC time delay. If no MCU clock edges  
are detected within this RC time delay, the clock monitor can optionally generate a sys-  
tem reset. The clock monitor function is enabled or disabled by the CME and FCME  
control bits in the OPTION register. The presence of a time-out is determined by the  
RC delay, which allows the clock monitor to operate without any MCU clocks.  
Clock monitor is used as a backup for the COP system. Because the COP needs a  
clock to function, it is disabled when the clocks stop. Therefore, the clock monitor sys-  
tem can detect clock failures not detected by the COP system.  
RESETS AND INTERRUPTS  
MC68HC11F1  
5-2  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
 
Freescale Semiconductor, Inc.  
Semiconductor wafer processing causes variations of the RC time-out values between  
individual devices. An E-clock frequency below 10 kHz is detected as a clock monitor  
error. An E-clock frequency of 200 kHz or more prevents clock monitor errors. Using  
the clock monitor function when the E-clock is below 200 kHz is not recommended.  
Special considerations are needed when a STOP instruction is executed and the clock  
monitor is enabled. Because the STOP function causes the clocks to be halted, the  
clock monitor function generates a reset sequence if it is enabled at the time the STOP  
mode was initiated. Before executing a STOP instruction, clear to zero the CME bit in  
the OPTION register to disable the clock monitor. After recovery from STOP, set the  
CME bit to logic one to enable the clock monitor.  
5.1.5 OPTION Register  
OPTION — System Configuration Options  
$1039  
Bit 7  
ADPU  
0
6
CSEL  
0
5
IRQE*  
0
4
DLY*  
1
3
CME  
0
2
FCME*  
0
1
CR1*  
0
Bit 0  
CR0*  
0
RESET:  
*Can be written only once in first 64 cycles out of reset in normal modes, or at any time in special modes.  
ADPU — Analog-to-Digital Converter Power-Up  
Refer to SECTION 10 ANALOG-TO-DIGITAL CONVERTER.  
CSEL — Clock Select  
Refer to SECTION 10 ANALOG-TO-DIGITAL CONVERTER.  
IRQE — Configure IRQ for Edge-Sensitive Only Operation  
0 = Low level sensitive operation.  
1 = Falling edge sensitive only operation.  
DLY — Enable Oscillator Start-up Delay  
0 = The oscillator start-up delay coming out of STOP is bypassed and the MCU re-  
sumes processing within about four bus cycles.  
1 = A delay of approximately 4000 E-clock cycles is imposed as the MCU is started  
up from the STOP power-saving mode.  
CME — Clock Monitor Enable  
This control bit can be read or written at any time and controls whether or not the in-  
ternal clock monitor circuit triggers a reset sequence when the system clock is slow or  
absent. When it is clear, the clock monitor circuit is disabled, and when it is set, the  
clock monitor circuit is enabled. Reset clears the CME bit.  
FCME — Force Clock Monitor Enable  
To use STOP mode, the FCME bit must equal zero.  
0 = Clock monitor follows the state of the CME bit.  
1 = Clock monitor circuit is enabled until next reset  
RESETS AND INTERRUPTS  
TECHNICAL DATA  
5-3  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
CR[1:0] — COP Timer Rate Select  
15  
The internal E clock is first divided by 2 before it enters the COP watchdog system.  
These control bits determine a scaling factor for the watchdog timer. Refer to Table 5-  
1.  
5.1.6 CONFIG Register  
CONFIG — System Configuration Register  
$103F  
Bit 7  
EE3  
1
6
EE2  
1
5
EE1  
1
4
EE0  
1
3
1
2
NOCOP  
P
1
1
Bit 0  
EEON  
RESET:  
1
1
P
0
Single Chip  
Bootstrap  
1
1
1
1
1
P(L)  
P
1
P
P
P
P
1
1
Expanded  
Special Test  
P
P
P
P
1
P(L)  
1
P indicates a previously programmed bit. P(L) indicates that the bit resets to the logic  
level held in the latch prior to reset, but the function of COP is controlled by DISR in  
TEST1 register.  
EE[3:0] — EEPROM Mapping Control  
Refer to SECTION 4 OPERATING MODES AND ON-CHIP MEMORY.  
Bit 3 — Not implemented  
Always reads one  
NOCOP — COP System Disable  
0 = COP system enabled (forces reset on time-out)  
1 = COP system disabled  
Bit 1 — Not implemented  
Always reads one  
EEON — EEPROM Enable  
Refer to SECTION 4 OPERATING MODES AND ON-CHIP MEMORY.  
5.2 Effects of Reset  
When a reset condition is recognized, the internal registers and control bits are forced  
to an initial state. Depending on the cause of the reset and the operating mode, the  
reset vector can be fetched from any of six possible locations. Refer to Table 5-2.  
Table 5-2 Reset Cause, Operating Mode, and Reset Vector  
Cause of Reset  
POR or RESET Pin  
Normal Mode Vector  
$FFFE, FFFF  
Special Test or Bootstrap  
$BFFE, $BFFF  
Clock Monitor Failure  
$FFFC, FFFD  
$BFFC, $BFFD  
COP Watchdog Time-out  
$FFFA, FFFB  
$BFFA, $BFFB  
These initial states then control on-chip peripheral systems to force them to known  
start-up states, as follows:  
RESETS AND INTERRUPTS  
MC68HC11F1  
5-4  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
 
Freescale Semiconductor, Inc.  
5.2.1 Central Processing Unit  
After reset, the CPU fetches the reset vector from the appropriate address during the  
first three cycles, and begins executing instructions. The stack pointer and other CPU  
registers are indeterminate immediately after reset; however, the X and I interrupt  
mask bits in the condition code register (CCR) are set to mask any interrupt requests.  
Also, the S bit in the CCR is set to inhibit the STOP mode.  
5.2.2 Memory Map  
After reset, the INIT register is initialized to $01, putting the 1024 bytes of RAM at lo-  
cations $0000 through $03FF, and the control registers at locations $1000 through  
$105F. The EE[3:0] bits in the CONFIG register control the location of the 512-byte  
EEPROM array.  
5.2.3 Parallel I/O  
When a reset occurs in expanded operating modes, port B, C, and F pins used for par-  
allel I/O are dedicated to the expansion bus. If a reset occurs during a single-chip op-  
erating mode, all ports are configured as general-purpose high-impedance inputs.  
NOTE  
Do not confuse pin function with the electrical state of the pin at reset.  
All general-purpose I/O pins configured as inputs at reset are in a  
high-impedance state. Port data registers reflect the port's functional  
state at reset. The pin function is mode dependent.  
5.2.4 Timer  
During reset, the timer system is initialized to a count of $0000. The prescaler bits are  
cleared, and all output compare registers are initialized to $FFFF. All input capture reg-  
isters are indeterminate after reset. The output compare 1 mask (OC1M) register is  
cleared so that successful OC1 compares do not affect any I/O pins. The other four  
output compares are configured so that they do not affect any I/O pins on successful  
compares. All input capture edge-detector circuits are configured for capture disabled  
operation. The timer overflow interrupt flag and all eight timer function interrupt flags  
are cleared. All nine timer interrupts are disabled because their mask bits have been  
cleared.  
The I4/O5 bit in the PACTL register is cleared to configure the I4/O5 function as OC5;  
however, the OM5–OL5 control bits in the TCTL1 register are clear so OC5 does not  
control the PA3 pin.  
5.2.5 Real-Time Interrupt (RTI)  
The real-time interrupt flag (RTIF) is cleared and automatic hardware interrupts are  
masked. The rate control bits are cleared after reset and can be initialized by software  
before the real-time interrupt (RTI) system is used.  
RESETS AND INTERRUPTS  
TECHNICAL DATA  
5-5  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
5.2.6 Pulse Accumulator  
The pulse accumulator system is disabled at reset so that the pulse accumulator input  
(PAI) pin defaults to being a general-purpose input pin.  
5.2.7 Computer Operating Properly (COP)  
The COP watchdog system is enabled if the NOCOP control bit in the CONFIG regis-  
ter is cleared, and disabled if NOCOP is set. The COP rate is set for the shortest du-  
ration time-out.  
5.2.8 Serial Communications Interface (SCI)  
The reset condition of the SCI system is independent of the operating mode. All trans-  
mit and receive interrupts are masked and both the transmitter and receiver are dis-  
abled so the port pins default to being general-purpose I/O lines. The SCI frame format  
is initialized to an 8-bit character size. The send break and receiver wakeup functions  
are disabled. The TDRE and TC status bits in the SCI status register are both set, in-  
dicating that there is no transmit data in either the transmit data register or the transmit  
serial shift register. The RDRF, IDLE, OR, NF, FE, PF, and RAF receive-related status  
bits are cleared.  
5.2.9 Serial Peripheral Interface (SPI)  
The SPI system is disabled by reset. The port pins associated with this function default  
to being general-purpose I/O lines.  
5.2.10 Analog-to-Digital Converter  
The A/D converter configuration is indeterminate after reset. The ADPU bit is cleared  
by reset, which disables the A/D system. The conversion complete flag is cleared by  
reset.  
5.2.11 System  
The EEPROM programming controls are disabled, so the memory system is config-  
ured for normal read operation. PSEL[3:0] are initialized with the binary value %0101,  
causing the external IRQ pin to have the highest I-bit interrupt priority. The IRQ pin is  
configured for level-sensitive operation (for wired-OR systems). The RBOOT, SMOD,  
and MDA bits in the HPRIO register reflect the status of the MODB and MODA inputs  
at the rising edge of reset. The DLY control bit is set to specify that an oscillator start-  
up delay is imposed upon recovery from STOP mode. The clock monitor system is dis-  
abled because CME and FCME are cleared.  
5.3 Reset and Interrupt Priority  
Resets and interrupts have a hardware priority that determines which reset or interrupt  
is serviced first when simultaneous requests occur. Any maskable interrupt can be giv-  
en priority over other maskable interrupts.  
The first six interrupt sources are not maskable. The priority arrangement for these  
sources is as follows:  
RESETS AND INTERRUPTS  
MC68HC11F1  
5-6  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
1. POR or RESET pin  
2. Clock monitor reset  
3. COP watchdog reset  
4. XIRQ interrupt  
5. Illegal opcode interrupt  
6. Software interrupt (SWI)  
The maskable interrupt sources have the following priority arrangement:  
1. IRQ  
2. Real-time interrupt  
3. Timer input capture 1  
4. Timer input capture 2  
5. Timer input capture 3  
6. Timer output compare 1  
7. Timer output compare 2  
8. Timer output compare 3  
9. Timer output compare 4  
10.Timer input capture 4/output compare 5  
11.Timer overflow  
12.Pulse accumulator overflow  
13.Pulse accumulator input edge  
14.SPI transfer complete  
15.SCI system (refer to Figure 5-5)  
Any one of these interrupts can be assigned the highest maskable interrupt priority by  
writing the appropriate value to the PSEL bits in the HPRIO register. Otherwise, the  
priority arrangement remains the same. An interrupt that is assigned highest priority is  
still subject to global masking by the I bit in the CCR, or by any associated local bits.  
Interrupt vectors are not affected by priority assignment. To avoid race conditions, HP-  
RIO can only be written while I-bit interrupts are inhibited.  
5.3.1 Highest Priority Interrupt and Miscellaneous Register  
HPRIO — Highest Priority I-Bit Interrupt and Miscellaneous  
$103C  
Bit 7  
6
5
4
IRV  
0
3
2
1
Bit 0  
RBOOT* SMOD*  
MDA*  
PSEL3  
PSEL2  
PSEL1  
PSEL0  
RESET:  
0
0
1
0
0
0
1
1
0
1
0
1
0
0
0
0
1
1
1
1
0
0
0
0
1
1
1
1
Single Chip  
Expanded  
Bootstrap  
1
0
1
Special Test  
*The values of the RBOOT, SMOD, MDA, and IRV reset bits depend on the operating mode selected during power-  
up. Refer to Table 4–3.  
RBOOT — Read Bootstrap ROM  
Set to one out of reset in bootstrap mode. Valid while in special modes only. Can be  
read any time. Can only be written in special modes. Refer to SECTION 4 OPERAT-  
ING MODES AND ON-CHIP MEMORY for more information.  
RESETS AND INTERRUPTS  
TECHNICAL DATA  
5-7  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
SMOD — Special Mode Select  
Can be read any time. Can only be written in special modes (SMOD = 1). Can only be  
written to zero. Refer to SECTION 4 OPERATING MODES AND ON-CHIP MEMORY  
for more information.  
MDA — Mode Select A  
Can be read any time. Can only be written in special modes (SMOD = 1). Refer to  
SECTION 4 OPERATING MODES AND ON-CHIP MEMORY for more information.  
IRV — Internal Read Visibility  
The IRV control bit allows internal read accesses to be available on the external data  
bus during operation in expanded modes. In special modes (SMOD = 1), IRV resets  
to one (enabled) and can be written any time. In normal modes (SMOD = 0), IRV re-  
sets to zero (disabled) and only one write is allowed.  
PSEL[3:0] — Priority Select Bits  
These bits select one interrupt source to be elevated above all other I-bit-related  
sources and can only be written while the I bit in the CCR is set (interrupts disabled).  
Table 5-3 Highest Priority Interrupt Selection  
PSEL3  
PSEL2  
PSEL1  
PSEL0  
Interrupt Source Promoted  
Timer Overflow  
0
0
0
0
0
0
0
0
1
1
1
1
1
1
1
1
0
0
0
0
1
1
1
1
0
0
0
0
1
1
1
1
0
0
1
1
0
0
1
1
0
0
1
1
0
0
1
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1
Pulse Accumulator Overflow  
Pulse Accumulator Input Edge  
SPI Serial Transfer Complete  
SCI Serial System  
Reserved (Default to IRQ)  
IRQ  
Real-Time Interrupt  
Timer Input Capture 1  
Timer Input Capture 2  
Timer Input Capture 3  
Timer Output Compare 1  
Timer Output Compare 2  
Timer Output Compare 3  
Timer Output Compare 4  
Timer Output Compare 5/Input Capture 4  
5.4 Interrupts  
The MCU has 18 interrupt vectors that support 22 interrupt sources. The 15 maskable  
interrupts are generated by on-chip peripheral systems. These interrupts are recog-  
nized when the global interrupt mask bit (I) in the condition code register (CCR) is  
clear. The three non-maskable interrupt sources are illegal opcode trap, software in-  
terrupt, and XIRQ pin. Refer to Table 5-4, which shows the interrupt sources and vec-  
tor assignments for each source.  
RESETS AND INTERRUPTS  
MC68HC11F1  
5-8  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
Table 5-4 Interrupt and Reset Vector Assignments  
Vector Address  
Interrupt Source  
CCR  
Mask Bit  
Local Mask  
FFC0, C1 – FFD4, D5  
FFD6, D7  
Reserved  
I
SCI Serial System  
• SCI Receive Data Register Full  
• SCI Receiver Overrun  
• SCI Transmit Data Register Empty  
• SCI Transmit Complete  
• SCI Idle Line Detect  
SPI Serial Transfer Complete  
Pulse Accumulator Input Edge  
Pulse Accumulator Overflow  
Timer Overflow  
RIE  
RIE  
TIE  
TCIE  
ILIE  
FFD8, D9  
FFDA, DB  
FFDC, DD  
FFDE, DF  
FFE0, E1  
FFE2, E3  
FFE4, E5  
FFE6, E7  
FFE8, E9  
FFEA, EB  
FFEC, ED  
FFEE, EF  
FFF0, F1  
FFF2, F3  
FFF4, F5  
FFF6, F7  
FFF8, F9  
FFFA, FB  
FFFC, FD  
FFFE, FF  
I
SPIE  
PAII  
I
I
PAOVI  
TOI  
I
Timer Input Capture 4/Output Compare 5  
Timer Output Compare 4  
Timer Output Compare 3  
Timer Output Compare 2  
Timer Output Compare 1  
Timer Input Capture 3  
Timer Input Capture 2  
Timer Input Capture 1  
Real-Time Interrupt  
I
I4/O5I  
OC4I  
OC3I  
OC2I  
OC1I  
IC3I  
I
I
I
I
I
I
IC2I  
I
IC1I  
I
RTII  
IRQ  
I
None  
None  
None  
None  
NOCOP  
CME  
None  
XIRQ Pin  
X
Software Interrupt  
None  
None  
None  
None  
None  
Illegal Opcode Trap  
COP Failure  
Clock Monitor Fail  
RESET  
For some interrupt sources, such as the SCI interrupts, the flags are automatically  
cleared during the normal course of responding to the interrupt requests. For example,  
the RDRF flag in the SCI system is cleared by the automatic clearing mechanism con-  
sisting of a read of the SCI status register while RDRF is set, followed by a read of the  
SCI data register. The normal response to an RDRF interrupt request would be to read  
the SCI status register to check for receive errors, then to read the received data from  
the SCI data register. These two steps satisfy the automatic clearing mechanism with-  
out requiring any special instructions.  
5.4.1 Interrupt Recognition and Register Stacking  
An interrupt can be recognized at any time after it is enabled by its local mask, if any,  
and by the global mask bit in the CCR. Once an interrupt source is recognized, the  
CPU responds at the completion of the instruction being executed. Interrupt latency  
varies according to the number of cycles required to complete the current instruction.  
When the CPU begins to service an interrupt, the contents of the CPU registers are  
pushed onto the stack in the order shown in Table 5-5. After the CCR value is stacked,  
the I bit and the X bit (if XIRQ is pending) are set to inhibit further interrupts. The inter-  
rupt vector for the highest priority pending source is fetched, and execution continues  
RESETS AND INTERRUPTS  
TECHNICAL DATA  
5-9  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
at the address specified by the vector. At the end of the interrupt service routine, the  
return from interrupt instruction is executed and the saved registers are pulled from the  
stack in reverse order so that normal program execution can resume. Refer to SEC-  
TION 3 CENTRAL PROCESSING UNIT for further information.  
Table 5-5 Stacking Order on Entry to Interrupts  
Memory Location  
SP  
CPU Registers  
PCL  
SP – 1  
PCH  
SP – 2  
IYL  
SP – 3  
IYH  
SP – 4  
IXL  
SP – 5  
IXH  
SP – 6  
ACCA  
ACCB  
CCR  
SP – 7  
SP – 8  
5.4.2 Non-Maskable Interrupt Request (XIRQ)  
Non-maskable interrupts are useful because they can always interrupt CPU opera-  
tions. The most common use for such an interrupt is for serious system problems, such  
as program runaway or power failure. The XIRQ input is an updated version of the NMI  
input of earlier MCUs.  
Upon reset, both the X bit and I bit of the CCR are set to inhibit all maskable interrupts  
and XIRQ. After minimum system initialization, software can clear the X bit by a TAP  
instruction, enabling XIRQ interrupts. Thereafter, software cannot set the X bit. Thus,  
an XIRQ interrupt is a nonmaskable interrupt. Because the operation of the I-bit-relat-  
ed interrupt structure has no effect on the X bit, the internal XIRQ pin remains non-  
masked. In the interrupt priority logic, the XIRQ interrupt has a higher priority than any  
source that is maskable by the I bit. All I-bit-related interrupts operate normally with  
their own priority relationship.  
When an I-bit-related interrupt occurs, the I bit is automatically set by hardware after  
stacking the CCR byte. The X bit is not affected. When an X-bit-related interrupt oc-  
curs, both the X and I bits are automatically set by hardware after stacking the CCR.  
A return from interrupt instruction restores the X and I bits to their pre-interrupt request  
state.  
5.4.3 Illegal Opcode Trap  
Because not all possible opcodes or opcode sequences are defined, the MCU in-  
cludes an illegal opcode detection circuit, which generates an interrupt request. When  
an illegal opcode is detected and the interrupt is recognized, the current value of the  
program counter is stacked. After interrupt service is complete, reinitialize the stack  
pointer so repeated execution of illegal opcodes does not cause stack underflow. Left  
uninitialized, the illegal opcode vector can point to a memory location that contains an  
illegal opcode. This condition causes an infinite loop that causes stack underflow. The  
stack grows until the system crashes.  
RESETS AND INTERRUPTS  
MC68HC11F1  
5-10  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
The illegal opcode trap mechanism works for all unimplemented opcodes on all four  
opcode map pages. The address stacked as the return address for the illegal opcode  
interrupt is the address of the first byte of the illegal opcode. Otherwise, it would be  
almost impossible to determine whether the illegal opcode had been one or two bytes.  
The stacked return address can be used as a pointer to the illegal opcode so the illegal  
opcode service routine can evaluate the offending opcode.  
5.4.4 Software Interrupt  
SWI is an instruction, and thus cannot be interrupted until complete. SWI is not inhib-  
ited by the global mask bits in the CCR. Because execution of SWI sets the I mask bit,  
once an SWI interrupt begins, other interrupts are inhibited until SWI is complete, or  
until user software clears the I bit in the CCR.  
5.4.5 Maskable Interrupts  
The maskable interrupt structure of the MCU can be extended to include additional ex-  
ternal interrupt sources through the IRQ pin. The default configuration of this pin is a  
low-level sensitive wired-OR network. When an event triggers an interrupt, a software  
accessible interrupt flag is set. When enabled, this flag causes a constant request for  
interrupt service. After the flag is cleared, the service request is released.  
5.4.6 Reset and Interrupt Processing  
Figure 5-1 and Figure 5-3 illustrate the reset and interrupt process. Figure 5-1 illus-  
trates how the CPU begins from a reset and how interrupt detection relates to normal  
opcode fetches. Figure 5-3 is an expansion of a block in Figure 5-1 and illustrates in-  
terrupt priorities. Figure 5-5 shows the resolution of interrupt sources within the SCI  
subsystem.  
RESETS AND INTERRUPTS  
TECHNICAL DATA  
5-11  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
HIGHEST  
POWER-ON RESET  
(POR)  
PRIORITY  
EXTERNAL RESET  
DELAY 4064 E CYCLES  
CLOCK MONITOR FAIL  
(WITH CME = 1)  
LOWEST  
COP WATCHDOG TIMEOUT  
(WITH NOCOP = 0)  
LOAD PROGRAM COUNTER  
WITH CONTENTS OF  
$FFFE, FFFF (VECTOR FETCH)  
LOAD PROGRAM COUNTER  
WITH CONTENTS OF  
$FFFC, FFFD (VECTOR FETCH)  
LOAD PROGRAM COUNTER  
WITH CONTENTS OF  
$FFFA, FFFB (VECTOR FETCH)  
SET S, X, AND I BITS  
IN CCR  
RESET MCU  
HARDWARE  
1A  
BEGIN AN INSTRUCTION  
SEQUENCE  
X BIT IN  
CCR SET  
?
YES  
NO  
XIRQ  
PIN LOW  
?
YES  
NO  
STACK CPU  
REGISTERS  
SET X AND I BITS  
FETCH VECTOR  
$FFE4, FFE5  
1B  
Figure 5-1 Processing Flow Out of Reset (1 of 2)  
RESETS AND INTERRUPTS  
MC68HC11F1  
5-12  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
1B  
I BIT IN  
CCR SET  
?
YES  
NO  
ANY I BIT  
INTERRUPT  
PENDING  
?
YES  
NO  
FETCH OPCODE  
STACK CPU  
REGISTERS  
ILLEGAL  
OPCODE  
?
YES  
STACK CPU  
REGISTERS  
SET X AND I BITS  
NO  
FETCH VECTOR  
$FFE8, FFE9  
YES  
STACK CPU  
REGISTERS  
WAI  
?
NO  
NO  
INTERRUPT  
YES  
YES  
STACK CPU  
REGISTERS  
SWI  
?
YET  
?
SET X AND I BITS  
YES  
NO  
FETCH VECTOR  
$FFE6, FFE7  
SET I BIT  
RTI  
?
RESTORE CPU  
REGISTERS  
FROM STACK  
NO  
RESOLVE INTERRUPT  
PRIORITY AND FETCH  
VECTOR FOR HIGHEST  
PENDING SOURCE  
EXECUTE THIS  
INSTRUCTION  
(REFER TO FIGURE 5-2)  
START NEXT  
INSTRUCTION  
SEQUENCE  
1A  
Figure 5-2 Processing Flow Out of Reset (2 of 2)  
RESETS AND INTERRUPTS  
TECHNICAL DATA  
5-13  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
BEGIN  
X BIT  
IN CCR SET  
?
XIRQ PIN  
LOW  
?
YES  
YES  
YES  
YES  
YES  
YES  
YES  
YES  
YES  
SET X BIT IN CCR  
FETCH VECTOR  
$FFF4, FFF5  
NO  
NO  
HIGHEST  
PRIORITY  
INTERRUPT  
?
FETCH VECTOR  
NO  
FETCH VECTOR  
$FFF2, FFF3  
IRQ  
?
NO  
REAL-TIME  
INTERRUPT  
?
YES  
YES  
YES  
YES  
YES  
FETCH VECTOR  
$FFF0, FFF1  
RTII = 1  
?
NO  
NO  
TIMER  
IC1F  
?
FETCH VECTOR  
$FFEE, FFEF  
IC1I = 1  
?
NO  
NO  
TIMER  
IC2F  
?
FETCH VECTOR  
$FFEC, FFED  
IC2I = 1  
?
NO  
NO  
TIMER  
IC3F  
?
FETCH VECTOR  
$FFEA, FFEB  
IC3I = 1  
?
NO  
NO  
TIMER  
OC1F  
?
FETCH VECTOR  
$FFE8, FFE9  
OC1I = 1  
?
NO  
2A  
NO  
2B  
Figure 5-3 Interrupt Priority Resolution (1 of 2)  
RESETS AND INTERRUPTS  
MC68HC11F1  
TECHNICAL DATA  
5-14  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
2A  
2B  
TIMER  
OC2F  
?
YES  
YES  
YES  
YES  
YES  
YES  
YES  
YES  
YES  
YES  
YES  
YES  
YES  
YES  
YES  
YES  
YES  
FETCH VECTOR  
$FFE6, FFE7  
OC2I = 1  
?
NO  
NO  
TIMER  
OC3F  
?
FETCH VECTOR  
$FFE4, FFE5  
OC3I = 1  
?
NO  
NO  
TIMER  
OC4F  
?
FETCH VECTOR  
$FFE2, FFE3  
OC4I = 1  
?
NO  
NO  
TIMER  
OC5/IC4F  
?
FETCH VECTOR  
$FFE0, FFE1  
OC5/IC4I = 1  
?
NO  
NO  
TIMER  
TOF  
?
FETCH VECTOR  
$FFDE, FFDF  
TOI = 1  
?
NO  
NO  
PULSE  
ACCUMULATOR  
PAOVF  
FETCH VECTOR  
$FFDC, FFDD  
PAOVI = 1  
?
?
NO  
NO  
PULSE  
FETCH VECTOR  
$FFDA, FFDB  
ACCUMULATOR  
PAII = 1  
?
PAIF  
?
NO  
NO  
SPIF  
OR MODF  
?
FETCH VECTOR  
$FFD8, FFD9  
SPIE = 1  
?
NO  
NO  
SCI  
FETCH VECTOR  
$FFD6, FFD7  
(REFER TO FIG 5-3)  
?
SPURIOUS INTERRUPT – TAKE IRQ VECTOR  
NO  
FETCH VECTOR  
$FFF2, FFF3  
END  
Figure 5-4 Interrupt Priority Resolution (2 of 2)  
RESETS AND INTERRUPTS  
TECHNICAL DATA  
5-15  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
BEGIN  
YES  
RDRF = 1  
?
NO  
YES  
YES  
YES  
YES  
YES  
YES  
YES  
YES  
YES  
YES  
OR = 1  
?
RIE = 1  
?
RE = 1  
?
NO  
NO  
NO  
TDRE = 1  
?
TIE = 1  
?
TE = 1  
?
NO  
NO  
NO  
TC = 1  
?
TCIE = 1  
?
NO  
NO  
YES  
IDLE = 1  
?
ILIE = 1  
?
RE = 1  
?
NO  
NO  
NO  
NO – VALID SCI  
REQUEST  
YES – VALID SCI  
REQUEST  
Figure 5-5 Interrupt Source Resolution Within SCI  
5.5 Low Power Operation  
Both STOP and WAIT suspend CPU operation until a reset or interrupt occurs. The  
WAIT condition suspends processing and reduces power consumption to an interme-  
diate level. The STOP condition turns off all on-chip clocks and reduces power con-  
sumption to an absolute minimum while retaining the contents of all 1024 bytes of  
RAM.  
RESETS AND INTERRUPTS  
MC68HC11F1  
5-16  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
5.5.1 WAIT  
The WAI opcode places the MCU in the WAIT condition, during which the CPU regis-  
ters are stacked and CPU processing is suspended until a qualified interrupt is detect-  
ed. The interrupt can be an external IRQ, an XIRQ, or any of the internally generated  
interrupts, such as the timer or serial interrupts. The on-chip crystal oscillator remains  
active throughout the WAIT standby period.  
The reduction of power in the WAIT condition depends on how many internal clock sig-  
nals driving on-chip peripheral functions can be shut down. The CPU is always shut  
down during WAIT. While in the wait state, the address/data bus repeatedly runs read  
cycles to the address where the CCR contents were stacked. Ensuring that the stack  
contents are placed in internal RAM will further reduce power consumption. The MCU  
leaves the wait state when it senses any interrupt that has not been masked.  
The free-running timer system is shut down only if the I bit is set to one and the COP  
system is disabled by NOCOP being set to one. Several other systems can also be in  
a reduced power consumption state depending on the state of software-controlled  
configuration control bits. Power consumption by the analog-to-digital (A/D) converter  
is not affected significantly by the WAIT condition. However, the A/D converter current  
can be eliminated by writing the ADPU bit to zero. The SPI system is enabled or dis-  
abled by the SPE control bit. The SCI transmitter is enabled or disabled by the TE bit,  
and the SCI receiver is enabled or disabled by the RE bit. Therefore the power con-  
sumption in WAIT is dependent on the particular application.  
5.5.2 STOP  
Executing the STOP instruction while the S bit in the CCR is equal to zero places the  
MCU in the STOP condition. If the S bit is not zero, the STOP opcode is treated as a  
no-op (NOP). The STOP condition offers minimum power consumption because all  
clocks, including the crystal oscillator, are stopped while in this mode. To exit STOP  
and resume normal processing, a logic low level must be applied to one of the external  
interrupts (IRQ or XIRQ) or to the RESET pin. A pending edge-triggered IRQ can also  
bring the CPU out of STOP.  
Because all clocks are stopped in this mode, all internal peripheral functions also stop.  
The data in the internal RAM is retained as long as V power is maintained. The CPU  
DD  
state and I/O pin levels are static and are unchanged by STOP. Therefore, when an  
interrupt restarts the system, the MCU resumes processing as if there were no inter-  
ruption. If reset is used to restart the system a normal reset sequence results where  
all I/O pins and functions are also restored to their initial states.  
To use the IRQ pin as a means of recovering from STOP, the I bit in the CCR must be  
clear (IRQ not masked). The XIRQ pin can be used to wake up the MCU from STOP  
regardless of the state of the X bit in the CCR, although the recovery sequence de-  
pends on the state of the X bit. If X is set to zero (XIRQ not masked), the MCU starts  
up, beginning with the stacking sequence leading to normal service of the XIRQ re-  
quest. If X is set to one (XIRQ masked or inhibited), then processing continues with  
the instruction that immediately follows the STOP instruction, and no XIRQ interrupt  
service is requested or pending.  
RESETS AND INTERRUPTS  
TECHNICAL DATA  
5-17  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
Because the oscillator is stopped in STOP mode, a restart delay may be imposed to  
allow oscillator stabilization upon leaving STOP. If the internal oscillator is being used,  
this delay is required; however, if a stable external oscillator is being used, the DLY  
control bit can be used to bypass this start-up delay. The DLY control bit is set by reset  
and can be optionally cleared during initialization. If the DLY equal to zero option is  
used to avoid start-up delay on recovery from STOP, then reset should not be used as  
the means of recovering from STOP, as this causes DLY to be set again by reset, im-  
posing the restart delay. This same delay also applies to power-on reset, regardless  
of the state of the DLY control bit, but does not apply to a reset while the clocks are  
running.  
RESETS AND INTERRUPTS  
MC68HC11F1  
5-18  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
SECTION 6 PARALLEL INPUT/OUTPUT  
The MC68HC11F1 MCU has up to 54 input/output lines, depending on the operating  
mode. The data bus of this microcontroller is nonmultiplexed. I/O lines are organized  
into seven parallel ports. Ports with bidirectional pins have an associated data direc-  
tion control register. This register (DDRx) contains a data direction control bit for each  
bidirectional port line. The following table is a summary of the configuration and fea-  
tures of each port.  
Table 6-1 I/O Port Configuration  
Port  
Input Pins Output Pins  
Bidirectional Pins  
Shared Functions  
Timer  
Port A  
Port B  
Port C  
Port D  
Port E  
Port F  
Port G  
8
8
8
8
High-Order Address  
Data Bus  
8
6
SCI and SPI  
8
A/D Converter  
Low-Order Address  
Chip Select Outputs  
Port pin function is mode dependent. Do not confuse pin function with the electrical  
state of the pin at reset. Port pins are either driven to a specified logic level or are con-  
figured as high impedance inputs. I/O pins configured as high-impedance inputs have  
port data that is indeterminate. The contents of the corresponding latches are depen-  
dent upon the electrical state of the pins during reset. In port descriptions, an “I” indi-  
cates this condition. Port pins that are driven to a known logic level during reset are  
shown with a value of either one or zero. Some control bits are unaffected by reset.  
Reset states for these bits are indicated with a “U”.  
6.1 Port A  
Port A has eight bidirectional I/O pins and shares functions with the timer system.  
PORTA — Port A Data  
$1000  
Bit 7  
PA7  
I
6
PA6  
I
5
PA5  
I
4
PA4  
I
3
PA3  
I
2
PA2  
I
1
PA1  
I
Bit 0  
PA0  
I
RESET:  
Alt. Pin  
Func.:  
PAI  
OC2  
OC1  
OC3  
OC1  
OC4  
OC1  
IC4/OC5  
OC1  
IC1  
IC2  
IC3  
And/or:  
OC1  
PARALLEL INPUT/OUTPUT  
TECHNICAL DATA  
6-1  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
DDRA — Data Direction Register for Port A  
$1001  
Bit 7  
DDA7  
0
6
DDA6  
0
5
DDA5  
0
4
DDA4  
0
3
DDA3  
0
2
DDA2  
0
1
DDA1  
0
Bit 0  
DDA0  
0
RESET:  
DDA[7:0] — Data Direction for Port A  
0 = Input  
1 = Output  
NOTE  
To enable PA3 as fourth input capture, set the I4/O5 bit in the PACTL  
register. Otherwise, PA3 is configured as a fifth output compare out  
of reset, with bit I4/O5 being cleared. If the DDA3 bit is set (configur-  
ing PA3 as an output), and IC4 is enabled, writes to PA3 cause edges  
on the pin to result in input captures. Writing to TI4/O5 has no effect  
when the TI4/O5 register is acting as IC4. PA7 drives the pulse ac-  
cumulator input but also can be configured for general-purpose I/O,  
or output compare. Note that even when PA7 is configured as an out-  
put, the pin still drives the pulse accumulator input.  
6.2 Port B  
Reset state is mode dependent. In single-chip or bootstrap modes, port B pins are  
general-purpose outputs. In expanded and test modes, port B pins are high-order ad-  
dress outputs and PORTB is not in the memory map.  
PORTB — Port B Data  
$1004  
Bit 7  
PB7  
6
5
4
3
2
1
Bit 0  
PB0  
PB6  
PB5  
PB4  
PB3  
PB2  
PB1  
S. Chip or  
Boot:  
PB7  
0
PB6  
0
PB5  
0
PB4  
0
PB3  
0
PB2  
0
PB1  
0
PB0  
0
RESET:  
Expan. or  
Test:  
ADDR15 ADDR14 ADDR13 ADDR12 ADDR11 ADDR10 ADDR9  
ADDR8  
6.3 Port C  
Reset state is mode dependent. In single-chip and bootstrap modes, port C pins are  
high-impedance inputs. It is customary to have an external pull-up resistor on lines that  
are driven by open-drain devices. In expanded or test modes, port C pins are data bus  
inputs/outputs and PORTC is not in the memory map. The R/W signal is used to con-  
trol the direction of data transfers.  
The CWOM control bit in the OPT2 register disables port C's P-channel output drivers.  
Because the N-channel driver is not affected by CWOM, setting CWOM causes port  
C to become an open-drain-type output port suitable for wired-OR operation. In wired-  
OR mode, (PORTC bits are at logic level zero), pins are actively driven low by the N-  
channel driver. When a port C bit is at logic level one, the associated pin is in a high-  
PARALLEL INPUT/OUTPUT  
MC68HC11F1  
6-2  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
impedance state, as neither the N-channel nor the P-channel devices are active. It is  
customary to have an external pull-up resistor on lines that are driven by open-drain  
devices. Port C can only be configured for wired-OR operation when the MCU is in sin-  
gle-chip or bootstrap modes.  
PORTC — Port C Data  
$1006  
Bit 7  
PC7  
6
5
4
3
2
1
Bit 0  
PC0  
PC6  
PC5  
PC4  
PC3  
PC2  
PC1  
S. Chip or  
Boot:  
PC7  
I
PC6  
I
PC5  
I
PC4  
I
PC3  
I
PC2  
I
PC1  
I
PC0  
I
RESET:  
Expan. or  
Test: DATA7  
DATA6  
DATA5  
DATA4  
DATA3  
DATA2  
DATA1  
DATA0  
DDRC — Data Direction Register for Port C  
$1007  
Bit 7  
DDC7  
0
6
DDC6  
0
5
DDC5  
0
4
DDC4  
0
3
DDC3  
0
2
DDC2  
0
1
DDC1  
0
Bit 0  
DDC0  
0
RESET:  
DDC[7:0] — Data Direction for Port C  
0 = Input  
1 = Output  
6.4 Port D  
In all modes, port D bits [5:0] can be used either for general-purpose I/O, or with the  
SCI and SPI subsystems. During reset, port D pins are configured as high impedance  
inputs (DDRD bits cleared).  
The DWOM control bit in the SPCR register disables port D’s P-channel output drivers.  
Because the N-channel driver is not affected by DWOM, setting DWOM causes port  
D to become an open-drain-type output port suitable for wired-OR operation. In wired-  
OR mode, (PORTD bits are at logic level zero), pins are actively driven low by the N-  
channel driver. When a port D bit is at logic level one, the associated pin is in a high-  
impedance state, as neither the N-channel nor the P-channel devices are active. It is  
customary to have an external pull-up resistor on lines that are driven by open-drain  
devices. Port D can be configured for wired-OR operation in any operating mode.  
PORTD — Port D Data  
$1008  
Bit 7  
6
0
5
PD5  
I
4
PD4  
I
3
PD3  
I
2
PD2  
I
1
PD1  
I
Bit 0  
PD0  
I
RESET:  
0
Alt. Pin  
Func.:  
SS  
SCK  
MOSI  
MISO  
TxD  
RxD  
PARALLEL INPUT/OUTPUT  
TECHNICAL DATA  
6-3  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
DDRD — Data Direction Register for Port D  
$1009  
Bit 7  
6
0
5
DDD5  
0
4
DDD4  
0
3
DDD3  
0
2
DDD2  
0
1
DDD1  
0
Bit 0  
DDD0  
0
RESET:  
0
Bits [7:6] — Not implemented  
Always read zero  
DDD[5:0] — Data Direction for Port D  
0 = Input  
1 = Output  
NOTE  
When the SPI system is in slave mode, DDD5 has no meaning nor  
effect. When the SPI system is in master mode, DDD5 determines  
whether bit 5 of PORTD is an error detect input (DDD5 = 0) or a gen-  
eral-purpose output (DDD5 = 1). If the SPI system is enabled and ex-  
pects any of bits [4:2] to be an input, that bit will be an input  
regardless of the state of the associated DDR bit. If any of bits [4:2]  
are expected to be outputs that bit will be an output only if the asso-  
ciated DDR bit is set.  
6.5 Port E  
Port E has eight general-purpose input pins and shares functions with the A/D convert-  
er system. When some port E pins are being used for general-purpose input and oth-  
ers are being used as A/D inputs, PORTE should not be read during the sample  
portion of an A/D conversion.  
PORTE — Port E Data  
$100A  
Bit 7  
PE7  
I
6
PE6  
I
5
PE5  
I
4
PE4  
I
3
PE3  
I
2
PE2  
I
1
PE1  
I
Bit 0  
PE0  
I
RESET:  
Alt. Pin  
Func.:  
AN7  
AN6  
AN5  
AN4  
AN3  
AN2  
AN1  
AN0  
6.6 Port F  
Reset state is mode dependent. In single-chip or bootstrap modes, port F pins are gen-  
eral-purpose outputs. In expanded and test modes, port F pins are low order address  
outputs and PORTF is not in the memory map.  
PARALLEL INPUT/OUTPUT  
MC68HC11F1  
6-4  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
PORTF — Port F Data  
$1005  
Bit 7  
PF7  
6
5
4
3
2
1
Bit 0  
PF0  
PF6  
PF5  
PF4  
PF3  
PF2  
PF1  
S. Chip  
or Boot:  
PF7  
0
PF6  
0
PF5  
0
PF4  
0
PF3  
0
PF2  
0
PF1  
0
PF0  
0
RESET:  
Expan.  
or Test:  
ADDR7  
ADDR6  
ADDR5  
ADDR4  
ADDR3  
ADDR2  
ADDR1  
ADDR0  
6.7 Port G  
Port G pins reset to high-impedance inputs except in expanded modes where reset  
causes PG7 to become the CSPROG output. Alternate functions for port G bits [7:4]  
are chip select outputs. All port G bits are bidirectional and have corresponding data  
direction bits.  
The GWOM control bit in the OPT2 register disables port G's P-channel output drivers.  
Because the N-channel driver is not affected by GWOM, setting GWOM causes port  
G to become an open-drain-type output port suitable for wired-OR operation. In wired-  
OR mode, (PORTG bits are at logic level zero), pins are actively driven low by the N-  
channel driver. When a port G bit is at logic level one, the associated pin is in a high-  
impedance state, as neither the N-channel nor the P-channel devices are active. It is  
customary to have an external pull-up resistor on lines that are driven by open-drain  
devices. Port G can be configured for wired-OR operation in any operating mode.  
PORTG — Port G Data  
$1002  
Bit 7  
PG7  
I
6
PG6  
I
5
PG5  
I
4
PG4  
I
3
PG3  
I
2
PG2  
I
1
PG1  
I
Bit 0  
PG0  
I
RESET:  
Alt. Pin  
Func.: CSPROG CSGEN  
CSIO1  
CSIO2  
DDRG — Data Direction Register for Port G  
$1003  
Bit 7  
DDG7  
0
6
DDG6  
0
5
DDG5  
0
4
DDG4  
0
3
DDG3  
0
2
DDG2  
0
1
DDG1  
0
Bit 0  
DDG0  
0
RESET:  
DDG[7:0] — Data Direction for Port G  
0 = Input  
1 = Output  
6.8 System Configuration Options 2  
The system configuration options 2 register controls several configuration parameters.  
Bit 6, CWOM, is the only bit in this register that directly affects parallel I/O.  
PARALLEL INPUT/OUTPUT  
TECHNICAL DATA  
6-5  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
OPT2 — System Configuration Options 2  
$1038  
Bit 7  
GWOM  
0
6
CWOM  
0
5
CLK4X  
1
4
0
3
0
2
0
1
0
Bit 0  
RESET:  
0
GWOM — Port G Wired-OR Mode  
0 = Port G operates normally  
1 = Port G outputs are open drain  
CWOM — Port C Wired-OR Mode  
0 = Port C operates normally  
1 = Port C outputs are open drain  
CLK4X — 4XOUT Clock Enable  
Refer to SECTION 2 PIN DESCRIPTIONS.  
Bits [4:0] — Not implemented  
Always read zero  
PARALLEL INPUT/OUTPUT  
MC68HC11F1  
6-6  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
SECTION 7 SERIAL COMMUNICATIONS INTERFACE  
The serial communications interface (SCI) is a universal asynchronous receiver trans-  
mitter (UART), one of two independent serial I/O subsystems in the MC68HC11F1  
MCU. It has a standard nonreturn to zero (NRZ) format (one start bit, eight or nine data  
bits, and one stop bit). Several baud rates are available. The SCI transmitter and re-  
ceiver are independent, but use the same data format and bit rate.  
7.1 Data Format  
The serial data format requires the following conditions:  
1. An idle-line in the high state before transmission or reception of a message.  
2. A start bit, logic zero, transmitted or received, that indicates the start of each  
character.  
3. Data that is transmitted and received least significant bit (LSB) first.  
4. A stop bit, logic one, used to indicate the end of a frame. (A frame consists of a  
start bit, a character of eight or nine data bits, and a stop bit.)  
5. A break (defined as the transmission or reception of a logic zero for some mul-  
tiple number of frames).  
Selection of the word length is controlled by the M bit of SCI control register SCCR1.  
7.2 Transmit Operation  
The SCI transmitter includes a parallel transmit data register (SCDR) and a serial shift  
register. The contents of the serial shift register can only be written through the SCDR.  
This double buffered operation allows a character to be shifted out serially while an-  
other character is waiting in the SCDR to be transferred into the serial shift register.  
The output of the serial shift register is applied to TxD as long as transmission is in  
progress or the transmit enable (TE) bit of serial communication control register 2  
(SCCR2) is set. The block diagram, Figure 7-1, shows the transmit serial shift register  
and the buffer logic at the top of the figure.  
SERIAL COMMUNICATIONS INTERFACE  
TECHNICAL DATA  
7-1  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
TRANSMITTER  
BAUD RATE  
CLOCK  
(WRITE-ONLY)  
SCDR Tx BUFFER  
DDD1  
10 (11) - BIT Tx SHIFT REGISTER  
H (8) L  
PIN BUFFER  
AND CONTROL  
PD1/  
TxD  
7
6
5
4
3
2
1
0
8
S
J
S
B
P
FORCE PIN  
DIRECTION (OUT)  
T
TRANSMITTER  
CONTROL LOGIC  
8
SCCR1 SCI CONTROL 1  
SCSR INTERRUPT STATUS  
8
TDRE  
TIE  
TC  
8
TCIE  
SCCR2 SCI CONTROL 2  
SCI Rx  
REQUESTS  
SCI INTERRUPT  
REQUEST  
INTERNAL  
DATA BUS  
Figure 7-1 SCI Transmitter Block Diagram  
7.3 Receive Operation  
During receive operations, the transmit sequence is reversed. The serial shift register  
receives data and transfers it to a parallel receive data register (SCDR) as a complete  
word. This double buffered operation allows a character to be shifted in serially while  
another character is already in the SCDR. An advanced data recovery scheme distin-  
guishes valid data from noise in the serial data stream. The data input is selectively  
sampled to detect receive data, and a majority voting circuit determines the value and  
integrity of each bit.  
SERIAL COMMUNICATIONS INTERFACE  
MC68HC11F1  
7-2  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
RECEIVER  
BAUD RATE  
CLOCK  
DDD0  
÷16  
10 (11) - BIT  
Rx SHIFT REGISTER  
S
S
PIN BUFFER  
AND CONTROL  
DATA  
RECOVERY  
PD0/  
RxD  
(8)  
7
6
5
4
3
2
1
0
MSB  
ALL ONES  
DISABLE  
DRIVER  
RE  
M
WAKE-UP  
LOGIC  
RWU  
8
SCCR1 SCI CONTROL 1  
SCSR1 SCI STATUS 1  
SCDR Rx BUFFER  
(READ-ONLY)  
8
RDRF  
RIE  
IDLE  
ILIE  
8
OR  
RIE  
SCCR2 SCI CONTROL 2  
SCI Tx  
REQUESTS  
SCI INTERRUPT  
REQUEST  
INTERNAL  
DATA BUS  
Figure 7-2 SCI Receiver Block Diagram  
SERIAL COMMUNICATIONS INTERFACE  
TECHNICAL DATA  
7-3  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
7.4 Wakeup Feature  
The wakeup feature reduces SCI service overhead in multiple receiver systems. Soft-  
ware for each receiver evaluates the first character of each message. The receiver is  
placed in wakeup mode by writing a one to the RWU bit in the SCCR2 register. While  
RWU is one, all of the receiver-related status flags (RDRF, IDLE, OR, NF, and FE) are  
inhibited (cannot become set). Although RWU can be cleared by a software write to  
SCCR2, to do so would be unusual. Normally RWU is set by software and is cleared  
automatically with hardware. Whenever a new message begins, logic alerts the sleep-  
ing receivers to wake up and evaluate the initial character of the new message.  
Two methods of wakeup are available: idle-line wakeup and address-mark wakeup.  
During idle-line wakeup, a sleeping receiver awakens as soon as the RxD line be-  
comes idle. In the address-mark wakeup, logic one in the most significant bit (MSB) of  
a character wakes up all sleeping receivers.  
7.4.1 Idle-Line Wakeup  
To use the receiver wakeup method, establish a software addressing scheme to allow  
the transmitting devices to direct a message to individual receivers or to groups of re-  
ceivers. This addressing scheme can take any form as long as all transmitting and re-  
ceiving devices are programmed to understand the same scheme. Because the  
addressing information is usually the first frame(s) in a message, receivers that are not  
part of the current task do not become burdened with the entire set of addressing  
frames. All receivers are awake (RWU = 0) when each message begins. As soon as  
a receiver determines that the message is not intended for it, software sets the RWU  
bit (RWU = 1), which inhibits further flag setting until the RxD line goes idle at the end  
of the message. As soon as an idle line is detected by receiver logic, hardware auto-  
matically clears the RWU bit so that the first frame of the next message can be re-  
ceived. This type of receiver wakeup requires a minimum of one idle-line frame time  
between messages, and no idle time between frames in a message.  
7.4.2 Address-Mark Wakeup  
The serial characters in this type of wakeup consist of seven (eight if M = 1) information  
bits and an MSB, which indicates an address character (when set to one, or mark).  
The first character of each message is an addressing character (MSB = 1). All receiv-  
ers in the system evaluate this character to determine if the remainder of the message  
is directed toward this particular receiver. As soon as a receiver determines that a  
message is not intended for it, the receiver activates the RWU function by using a soft-  
ware write to set the RWU bit. Because setting RWU inhibits receiver-related flags,  
there is no further software overhead for the rest of this message.  
When the next message begins, its first character has its MSB set, which automatically  
clears the RWU bit and enables normal character reception. The first character whose  
MSB is set is also the first character to be received after wakeup because RWU gets  
cleared before the stop bit for that frame is serially received. This type of wakeup al-  
lows messages to include gaps of idle time, unlike the idle-line method, but there is a  
loss of efficiency because of the extra bit time for each character (address bit) required  
for all characters.  
SERIAL COMMUNICATIONS INTERFACE  
MC68HC11F1  
7-4  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
7.5 SCI Error Detection  
Three error conditions, SCDR overrun, received bit noise, and framing can occur dur-  
ing generation of SCI system interrupts. Three bits (OR, NF, and FE) in the serial com-  
munications status register (SCSR) indicate if one of these error conditions exists.  
The overrun error (OR) bit is set when the next byte is ready to be transferred from the  
receive shift register to the SCDR and the SCDR is already full (RDRF bit is set). When  
an overrun error occurs, the data that caused the overrun is lost and the data that was  
already in SCDR is not disturbed. The OR is cleared when the SCSR is read (with OR  
set), followed by a read of the SCDR.  
The noise flag (NF) bit is set if there is noise on any of the received bits, including the  
start and stop bits. The NF bit is not set until the RDRF flag is set. The NF bit is cleared  
when the SCSR is read (with FE equal to one) followed by a read of the SCDR.  
When no stop bit is detected in the received data character, the framing error (FE) bit  
is set. FE is set at the same time as the RDRF. If the byte received causes both fram-  
ing and overrun errors, the processor only recognizes the overrun error. The framing  
error flag inhibits further transfer of data into the SCDR until it is cleared. The FE bit is  
cleared when the SCSR is read (with FE equal to one) followed by a read of the SCDR.  
7.6 SCI Registers  
There are five addressable registers associated with the SCI. SCCR1, SCCR2, and  
BAUD are control registers. SCDR is the SCI data register and SCSR is the SCI status  
register. Refer to the BAUD register description as well as the block diagram for the  
baud rate generator.  
7.6.1 Serial Communications Data Register  
SCDR is a parallel register that performs two functions. It is the receive data register  
when it is read, and the transmit data register when it is written. Reads access the re-  
ceive data buffer and writes access the transmit data buffer. Receive and transmit are  
double buffered.  
SCDR — SCI Data Register  
$102F  
Bit 7  
R7/T7  
I
6
R6/T6  
I
5
R5/T5  
I
4
R4/T4  
I
3
R3/T3  
I
2
R2/T2  
I
1
R1/T1  
I
Bit 0  
R0/T0  
I
RESET:  
7.6.2 Serial Communications Control Register 1  
The SCCR1 register provides the control bits that determine word length and select  
the method used for the wakeup feature.  
SCCR1 — SCI Control Register 1  
$102C  
Bit 7  
R8  
I
6
T8  
I
5
0
4
M
0
3
WAKE  
0
2
0
1
0
Bit 0  
RESET:  
0
SERIAL COMMUNICATIONS INTERFACE  
TECHNICAL DATA  
7-5  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
R8 — Receive Data Bit 8  
If M bit is set, R8 stores the ninth bit in the receive data character.  
T8 — Transmit Data Bit 8  
If M bit is set, T8 stores the ninth bit in the transmit data character.  
M — Mode (Select Character Format)  
0 = Start bit, 8 data bits, 1 stop bit  
1 = Start bit, 9 data bits, 1 stop bit  
WAKE — Wakeup by Address Mark/Idle  
0 = Wakeup by IDLE line recognition  
1 = Wakeup by address mark (most significant data bit set)  
7.6.3 Serial Communications Control Register 2  
The SCCR2 register provides the control bits that enable or disable individual SCI  
functions.  
SCCR2 — SCI Control Register 2  
$102D  
Bit 7  
TIE  
0
6
TCIE  
0
5
RIE  
0
4
ILIE  
0
3
TE  
0
2
RE  
0
1
RWU  
0
Bit 0  
SBK  
0
RESET:  
TIE — Transmit Interrupt Enable  
0 = TDRE interrupts disabled  
1 = SCI interrupt requested when TDRE status flag is set  
TCIE — Transmit Complete Interrupt Enable  
0 = TC interrupts disabled  
1 = SCI interrupt requested when TC status flag is set  
RIE — Receiver Interrupt Enable  
0 = RDRF and OR interrupts disabled  
1 = SCI interrupt requested when RDRF flag or the OR status flag is set  
ILIE — Idle-Line Interrupt Enable  
0 = IDLE interrupts disabled  
1 = SCI interrupt requested when IDLE status flag is set  
TE — Transmitter Enable  
When TE goes from zero to one, one unit of idle character time (logic one) is queued  
as a preamble.  
0 = Transmitter disabled  
1 = Transmitter enabled  
RE — Receiver Enable  
0 = Receiver disabled  
1 = Receiver enabled  
SERIAL COMMUNICATIONS INTERFACE  
MC68HC11F1  
7-6  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
RWU — Receiver Wakeup Control  
0 = Normal SCI receiver  
1 = Wakeup enabled and receiver interrupts inhibited  
SBK — Send Break  
At least one character time of break is queued and sent each time SBK is written to  
one. As long as the SBK bit is set, break characters are queued and sent. More than  
one break may be sent if the transmitter is idle at the time the SBK bit is toggled on  
and off, as the baud rate clock edge could occur between writing the one and writing  
the zero to SBK.  
0 = Break generator off  
1 = Break codes generated  
7.6.4 Serial Communication Status Register  
The SCSR provides inputs to the interrupt logic circuits for generation of the SCI sys-  
tem interrupt.  
SCSR — SCI Status Register  
$102E  
Bit 7  
TDRE  
1
6
TC  
1
5
RDRF  
0
4
IDLE  
0
3
OR  
0
2
NF  
0
1
FE  
0
Bit 0  
RESET:  
0
TDRE — Transmit Data Register Empty Flag  
This flag is set when SCDR is empty. Clear the TDRE flag by reading SCSR and then  
writing to SCDR.  
0 = SCDR busy  
1 = SCDR empty  
TC — Transmit Complete Flag  
This flag is set when the transmitter is idle (no data, preamble, or break transmission  
in progress). Clear the TC flag by reading SCSR and then writing to SCDR.  
0 = Transmitter busy  
1 = Transmitter idle  
RDRF — Receive Data Register Full Flag  
This flag is set if a received character is ready to be read from SCDR. Clear the RDRF  
flag by reading SCSR and then reading SCDR.  
0 = SCDR empty  
1 = SCDR full  
IDLE — Idle Line Detected Flag  
This flag is set if the RxD line is idle. Once cleared, IDLE is not set again until the RxD  
line has been active and becomes idle again. The IDLE flag is inhibited when RWU =  
1. Clear IDLE by reading SCSR and then reading SCDR.  
0 = RxD line is active  
1 = RxD line is idle  
SERIAL COMMUNICATIONS INTERFACE  
TECHNICAL DATA  
7-7  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
OR — Overrun Error Flag  
OR is set if a new character is received before a previously received character is read  
from SCDR. Clear the OR flag by reading SCSR and then reading SCDR.  
0 = No overrun  
1 = Overrun detected  
NF — Noise Error Flag  
NF is set if majority sample logic detects anything other than a unanimous decision.  
Clear NF by reading SCSR and then reading SCDR.  
0 = Unanimous decision  
1 = Noise detected  
FE — Framing Error  
FE is set when a zero is detected where a stop bit was expected. Clear the FE flag by  
reading SCSR and then reading SCDR.  
0 = Stop bit detected  
1 = Zero detected  
Bit 0 — Not implemented  
Always reads zero  
7.6.5 Baud Rate Register  
Use this register to select different baud rates for the SCI system. The SCP[1:0] bits  
select the prescaler rate for the SCR[2:0] bits. Together, these five bits provide multi-  
ple baud rate combinations for a given crystal frequency. Normally, this register is writ-  
ten once during initialization. The prescaler is set to its fastest rate by default out of  
reset, and can be changed at any time. Refer to Table 7-1 and Table 7-2 for normal  
baud rate selections.  
BAUD — Baud Rate  
$102B  
Bit 7  
6
0
5
SCP1  
0
4
SCP0  
0
3
RCKB  
0
2
SCR2  
U
1
SCR1  
U
Bit 0  
SCR0  
U
TCLR  
RESET:  
0
TCLR — Clear Baud Rate Counters (Test)  
SCP[1:0] — SCI Baud Rate Prescaler Selects  
Refer to the SCI baud rate generator block diagram.  
Table 7-1 Baud Rate Prescaler Selection  
Prescaler  
Divide Internal  
Crystal Frequency (MHz)  
SCP1  
SCP0  
Clock By  
4.0  
4.9152  
76800  
25600  
19200  
5907  
8.0  
12.0  
187500  
62500  
46875  
14423  
16.0  
20.0  
0
0
1
1
0
1
0
1
1
3
62500  
20833  
15625  
4800  
125000  
41667  
31250  
9600  
25000  
83332  
62500  
19200  
312500  
104165  
78125  
24000  
4
13  
SERIAL COMMUNICATIONS INTERFACE  
MC68HC11F1  
7-8  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
 
Freescale Semiconductor, Inc.  
RCKB — SCI Baud Rate Clock Check (Test)  
SCR[2:0] — SCI Baud Rate Selects  
Selects receiver and transmitter bit rate based on output from baud rate prescaler  
stage. Refer to the SCI baud rate generator block diagram.  
Table 7-2 Baud Rate Selection  
Divide  
Highest Baud Rate  
Prescaler  
(Prescaler Output from Previous Table)  
SCR[2:0]  
0 0 0  
0 0 1  
0 1 0  
0 1 1  
1 0 0  
1 0 1  
1 1 0  
1 1 1  
By  
1
4800  
4800  
2400  
1200  
600  
300  
150  
75  
19200  
19200  
9600  
4800  
2400  
1200  
600  
76800  
76800  
38400  
19200  
9600  
4800  
2400  
1200  
600  
312500  
312500  
156250  
78125  
39063  
19531  
9766  
2
4
8
16  
32  
64  
128  
300  
4883  
150  
2441  
The prescaler bits, SCP[2:0], determine the highest baud rate, and the SCR[2:0] bits  
select an additional binary submultiple (1, ≥2, 4, through 128) of this highest baud  
rate. The result of these two dividers in series is the 16X receiver baud rate clock. The  
SCR[2:0] bits are not affected by reset and can be changed at any time, although they  
should not be changed when any SCI transfer is in progress.  
Figure 7-3 and Figure 7-4 illustrate the SCI baud rate timing chain. The prescaler se-  
lect bits determine the highest baud rate. The rate select bits determine additional di-  
vide by two stages to arrive at the receiver timing (RT) clock rate. The baud rate clock  
is the result of dividing the RT clock by 16.  
SERIAL COMMUNICATIONS INTERFACE  
TECHNICAL DATA  
7-9  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
EXTAL  
XTAL  
OSCILLATOR  
INTERNAL  
BUS  
CLOCK  
(PH2)  
AND  
CLOCK GENERATOR  
(÷4)  
÷3  
÷4  
÷13  
SCP1:SCP0  
1:1  
E
0:0  
0:1  
1:0  
AS  
SCR2:SCR1:SCR0  
0:0:0  
÷2  
÷2  
÷2  
÷2  
÷2  
÷2  
÷2  
0:0:1  
0:1:0  
0:1:1  
1:0:0  
1:0:1  
1:1:0  
1:1:1  
÷16  
SCI  
TRANSMIT  
BAUD RATE  
(1X)  
SCI  
RECEIVE  
BAUD RATE  
(16X)  
Figure 7-3 SCI Baud Rate Generator Block Diagram  
7.7 Status Flags and Interrupts  
The SCI transmitter has two status flags. These status flags can be read by software  
(polled) to tell when the corresponding condition exists. Alternatively, a local interrupt  
enable bit can be set to enable each of these status conditions to generate interrupt  
requests when the corresponding condition is present. Status flags are automatically  
set by hardware logic conditions, but must be cleared by software, which provides an  
interlock mechanism that enables logic to know when software has noticed the status  
indication. The software clearing sequence for these flags is automatic — functions  
that are normally performed in response to the status flags also satisfy the conditions  
of the clearing sequence.  
SERIAL COMMUNICATIONS INTERFACE  
MC68HC11F1  
7-10  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
TDRE and TC flags are normally set when the transmitter is first enabled (TE set to  
one). The TDRE flag indicates there is room in the transmit queue to store another  
data character in the TDR. The TIE bit is the local interrupt mask for TDRE. When TIE  
is zero, TDRE must be polled. When TIE and TDRE are one, an interrupt is requested.  
The TC flag indicates the transmitter has completed the queue. The TCIE bit is the lo-  
cal interrupt mask for TC. When TCIE is zero, TC must be polled; when TCIE is one  
and TC is one, an interrupt is requested.  
Writing a zero to TE requests that the transmitter stop when it can. The transmitter  
completes any transmission in progress before actually shutting down. Only an MCU  
reset can cause the transmitter to stop and shut down immediately. If TE is written to  
zero when the transmitter is already idle, the pin reverts to its general-purpose I/O  
function (synchronized to the bit-rate clock). If anything is being transmitted when TE  
is written to zero, that character is completed before the pin reverts to general-purpose  
I/O, but any other characters waiting in the transmit queue are lost. The TC and TDRE  
flags are set at the completion of this last character, even though TE has been dis-  
abled.  
7.7.1 Receiver Flags  
The SCI receiver has five status flags, three of which can generate interrupt requests.  
The status flags are set by the SCI logic in response to specific conditions in the re-  
ceiver. These flags can be read (polled) at any time by software. Refer to Figure 7–4,  
which shows SCI interrupt arbitration.  
When an overrun takes place, the new character is lost, and the character that was in  
its way in the parallel RDR is undisturbed. RDRF is set when a character has been  
received and transferred into the parallel RDR. The OR flag is set instead of RDRF if  
overrun occurs. A new character is ready to be transferred into RDR before a previous  
character is read from RDR.  
The NF and FE flags provide additional information about the character in the RDR,  
but do not generate interrupt requests.  
The last receiver status flag and interrupt source come from the IDLE flag. The RxD  
line is idle if it has constantly been at logic one for a full character time. The IDLE flag  
is set only after the RxD line has been busy and becomes idle, which prevents repeat-  
ed interrupts for the whole time RxD remains idle.  
SERIAL COMMUNICATIONS INTERFACE  
TECHNICAL DATA  
7-11  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
BEGIN  
YES  
RDRF = 1  
?
NO  
YES  
YES  
YES  
YES  
YES  
YES  
YES  
YES  
YES  
YES  
OR = 1  
?
RIE = 1  
?
RE = 1  
?
NO  
NO  
NO  
TDRE = 1  
?
TIE = 1  
?
TE = 1  
?
NO  
NO  
NO  
TC = 1  
?
TCIE = 1  
?
NO  
NO  
YES  
IDLE = 1  
?
ILIE = 1  
?
RE = 1  
?
NO  
NO  
NO  
NO – VALID SCI  
REQUEST  
YES – VALID SCI  
REQUEST  
Figure 7-4 Interrupt Source Resolution Within SCI  
SERIAL COMMUNICATIONS INTERFACE  
MC68HC11F1  
7-12  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
SECTION 8 SERIAL PERIPHERAL INTERFACE  
The serial peripheral interface (SPI), an independent serial communications sub-  
system, allows the MCU to communicate synchronously with peripheral devices, such  
as transistor-transistor logic (TTL) shift registers, liquid crystal display (LCD) drivers,  
analog-to-digital converter subsystems, and other microprocessors. The SPI is also  
capable of inter-processor communication in a multiple master system. The SPI sys-  
tem can be configured as either a master or a slave device. When configured as a  
master, data transfer rates can be as high as one-half the E-clock rate (2.5 Mbits per  
second for a 5-MHz bus frequency). When configured as a slave, data transfers can  
be as fast as the E-clock rate (5 Mbits per second for a 5-MHz bus frequency).  
8.1 Functional Description  
The central element in the SPI system is the block containing the shift register and the  
read data buffer. The system is single buffered in the transmit direction and double  
buffered in the receive direction. This means that new data for transmission cannot be  
written to the shifter until the previous transfer is complete; however, received data is  
transferred into a parallel read data buffer so the shifter is free to accept a second se-  
rial character. As long as the first character is read out of the read data buffer before  
the next serial character is ready to be transferred, no overrun condition occurs. A sin-  
gle MCU register address is used for reading data from the read data buffer and for  
writing data to the shifter.  
The SPI status block represents the SPI status flags (transfer complete, write collision,  
and mode fault) located in the SPI status register (SPSR). The SPI control block rep-  
resents those functions that control the SPI system through the serial peripheral con-  
trol register (SPCR).  
Refer to Figure 8-1, which shows the SPI block diagram.  
SERIAL PERIPHERAL INTERFACE  
TECHNICAL DATA  
8-1  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
MISO/  
PD2  
S
INTERNAL  
MCU CLOCK  
M
MSB  
LSB  
MOSI/  
PD3  
M
S
8-BIT SHIFT REGISTER  
READ DATA BUFFER  
DIVIDER  
÷2 ÷4 ÷16 ÷32  
PIN  
CONTROL  
LOGIC  
CLOCK  
SPI CLOCK (MASTER)  
SELECT  
S
CLOCK  
LOGIC  
SCK/  
PD4  
M
S
S PR 0  
SS/  
PD5  
MSTR  
SPE  
SPI CONTROL  
SPIE  
SPSR SPI STATUS REGISTER  
SPCR SPI CONTROL REGISTER  
8
8
8
SPI INTERRUPT  
REQUEST  
INTERNAL  
DATA BUS  
Figure 8-1 SPI Block Diagram  
8.2 SPI Transfer Formats  
During an SPI transfer, data is simultaneously transmitted and received. A serial clock  
line synchronizes shifting and sampling of the information on the two serial data lines.  
A slave select line allows individual selection of a slave SPI device; slave devices that  
are not selected do not interfere with SPI bus activities. On a master SPI device, the  
select line can optionally be used to indicate a multiple master bus contention. Refer  
to Figure 8-2.  
SERIAL PERIPHERAL INTERFACE  
MC68HC11F1  
8-2  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
SCK CYCLE #  
1
2
3
4
5
6
7
8
SCK (CPOL = 0)  
SCK (CPOL = 1)  
SAMPLE INPUT  
(CPHA = 0) DATA OUT  
MSB  
6
5
4
3
2
1
LSB  
SAMPLE INPUT  
(CPHA = 1) DATA OUT  
MSB  
6
5
4
3
2
1
LSB  
4
SS (TO SLAVE)  
3
SLAVE CPHA = 1 TRANSFER IN PROGRESS  
MASTER TRANSFER IN PROGRESS  
1
2
3
4
5
2
SS ASSERTED  
MASTER WRITES  
TO SPDR  
FIRST SCK EDGE  
1
5
SLAVE CPHA = 0 TRANSFER IN PROGRESS  
SPIF SET  
SS NEGATED  
Figure 8-2 SPI Transfer Format  
8.2.1 Clock Phase and Polarity Controls  
Software can select one of four combinations of serial clock phase and polarity using  
two bits in the SPI control register (SPCR). The clock polarity is specified by the CPOL  
control bit, which selects an active high or active low clock, and has no significant ef-  
fect on the transfer format. The clock phase (CPHA) control bit selects one of two dif-  
ferent transfer formats. The clock phase and polarity should be identical for the master  
SPI device and the communicating slave device. In some cases, the phase and polar-  
ity are changed between transfers to allow a master device to communicate with pe-  
ripheral slaves having different requirements.  
When CPHA equals zero, the SS line must be negated and reasserted between each  
successive serial byte. Also, if the slave writes data to the SPI data register (SPDR)  
while SS is low, a write collision error results.  
When CPHA equals one, the SS line can remain low between successive transfers.  
8.3 SPI Signals  
The following paragraphs contain descriptions of the four SPI signals: master in slave  
out (MISO), master out slave in (MOSI), serial clock (SCK), and slave select (SS).  
Any SPI output line must have its corresponding data direction bit in DDRD register  
set. If the DDR bit is clear, that line is disconnected from the SPI logic and becomes a  
general-purpose input. All SPI input lines are forced to act as inputs regardless of the  
state of the corresponding DDR bits in DDRD register.  
SERIAL PERIPHERAL INTERFACE  
TECHNICAL DATA  
8-3  
For More Information On This Product,  
Go to: www.freescale.com  
 
 
Freescale Semiconductor, Inc.  
8.3.1 Master In Slave Out  
MISO is one of two unidirectional serial data signals. It is an input to a master device  
and an output from a slave device. The MISO line of a slave device is placed in the  
high-impedance state if the slave device is not selected.  
8.3.2 Master Out Slave In  
The MOSI line is the second of the two unidirectional serial data signals. It is an output  
from a master device and an input to a slave device. The master device places data  
on the MOSI line a half-cycle before the clock edge that the slave device uses to latch  
the data.  
8.3.3 Serial Clock  
SCK, an input to a slave device, is generated by the master device and synchronizes  
data movement in and out of the device through the MOSI and MISO lines. Master and  
slave devices are capable of exchanging a byte of information during a sequence of  
eight clock cycles.  
There are four possible timing relationships that can be chosen by using control bits  
CPOL and CPHA in the serial peripheral control register (SPCR). Both master and  
slave devices must operate with the same timing. The SPI clock rate select bits,  
SPR[1:0], in the SPCR of the master device, select the clock rate. In a slave device,  
SPR[1:0] have no effect on the operation of the SPI.  
8.3.4 Slave Select  
The slave select (SS) input of a slave device must be externally asserted before a  
master device can exchange data with the slave device. SS must be low before data  
transactions and must stay low for the duration of the transaction.  
The SS line of the master must be held high. If it goes low, a mode fault error flag  
(MODF) is set in the serial peripheral status register (SPSR). To disable the mode fault  
circuit, write a one in bit 5 of the port D data direction register. This sets the SS pin to  
act as a general-purpose output rather than the dedicated input to the slave select cir-  
cuit, thus inhibiting the mode fault flag. The other three lines are dedicated to the SPI  
whenever the serial peripheral interface is on.  
The state of the master and slave CPHA bits affects the operation of SS. CPHA set-  
tings should be identical for master and slave. When CPHA = 0, the shift clock is the  
OR of SS with SCK. In this clock phase mode, SS must go high between successive  
characters in an SPI message. When CPHA = 1, SS can be left low between succes-  
sive SPI characters. In cases where there is only one SPI slave MCU, its SS line can  
be tied to V as long as only CPHA = 1 clock mode is used.  
ss  
8.4 SPI System Errors  
Two system errors can be detected by the SPI system. The first type of error arises in  
a multiple-master system when more than one SPI device simultaneously tries to be  
a master. This error is called a mode fault. The second type of error, write collision,  
indicates that an attempt was made to write data to the SPDR while a transfer was in  
progress.  
SERIAL PERIPHERAL INTERFACE  
MC68HC11F1  
8-4  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
 
 
Freescale Semiconductor, Inc.  
When the SPI system is configured as a master and the SS input line goes to active  
low, a mode fault error has occurred — usually because two devices have attempted  
to act as master at the same time. In cases where more than one device is concurrent-  
ly configured as a master, there is a chance of contention between two pin drivers. For  
push-pull CMOS drivers, this contention can cause permanent damage. The mode  
fault mechanism attempts to protect the device by disabling the drivers. The MSTR  
control bit in the SPCR and all four DDRD control bits associated with the SPI are  
cleared and an interrupt is generated subject to masking by the SPIE control bit and  
the I bit in the CCR.  
Other precautions may need to be taken to prevent driver damage. If two devices are  
made masters at the same time, mode fault does not help protect either one unless  
one of them selects the other as slave. The amount of damage possible depends on  
the length of time both devices attempt to act as master.  
A write collision error occurs if the SPDR is written while a transfer is in progress. Be-  
cause the SPDR is not double buffered in the transmit direction, writes to SPDR cause  
data to be written directly into the SPI shift register. Because this write corrupts any  
transfer in progress, a write collision error is generated. The transfer continues undis-  
turbed, and the write data that caused the error is not written to the shifter.  
A write collision is normally a slave error because a slave has no control over when a  
master initiates a transfer. A master knows when a transfer is in progress, so there is  
no reason for a master to generate a write-collision error, although the SPI logic can  
detect write collisions in both master and slave devices.  
The SPI configuration determines the characteristics of a transfer in progress. For a  
master, a transfer begins when data is written to SPDR and ends when SPIF is set.  
For a slave with CPHA equal to zero, a transfer starts when SS goes low and ends  
when SS returns high. In this case, SPIF is set at the middle of the eighth SCK cycle  
when data is transferred from the shifter to the parallel data register, but the transfer  
is still in progress until SS goes high. For a slave with CPHA equal to one, transfer be-  
gins when the SCK line goes to its active level, which is the edge at the beginning of  
the first SCK cycle. The transfer ends in a slave in which CPHA equals one when SPIF  
is set.  
8.5 SPI Registers  
The three SPI registers, SPCR, SPSR, and SPDR, provide control, status, and data  
storage functions. Refer to the following information for a description of how these reg-  
isters are organized.  
8.5.1 Serial Peripheral Control  
SPCR — Serial Peripheral Control Register  
$1028  
Bit 7  
SPIE  
0
6
SPE  
0
5
DWOM  
0
4
MSTR  
0
3
CPOL  
0
2
CPHA  
1
1
SPR1  
U
Bit 0  
SPR0  
U
RESET:  
SERIAL PERIPHERAL INTERFACE  
TECHNICAL DATA  
8-5  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
SPIE — Serial Peripheral Interrupt Enable  
Set the SPE bit to one to request a hardware interrupt sequence each time the SPIF  
or MODF status flag is set. SPI interrupts are inhibited if this bit is clear or if the I bit in  
the condition code register is one.  
0 = SPI system interrupts disabled  
1 = SPI system interrupts enabled  
SPE — Serial Peripheral System Enable  
When the SPE bit is set, the port D bit 2, 3, 4, and 5 pins are dedicated to the SPI func-  
tion. If the SPI is in the master mode and DDRD bit 5 is set, then the port D bit 5 pin  
becomes a general-purpose output instead of the SS input.  
0 = SPI system disabled  
1 = SPI system enabled  
DWOM — Port D Wired-OR Mode  
DWOM affects all port D pins.  
0 = Normal CMOS outputs  
1 = Open-drain outputs  
MSTR — Master Mode Select  
0 = Slave mode  
1 = Master mode  
CPOL — Clock Polarity  
When the clock polarity bit is cleared and data is not being transferred, the SCK pin of  
the master device has a steady state low value. When CPOL is set, SCK idles high.  
Refer to Figure 8-2 and 8.2.1 Clock Phase and Polarity Controls.  
CPHA — Clock Phase  
The clock phase bit, in conjunction with the CPOL bit, controls the clock-data relation-  
ship between master and slave. The CPHA bit selects one of two different clocking  
protocols. Refer to Figure 8-2 and 8.2.1 Clock Phase and Polarity Controls.  
SPR[1:0] — SPI Clock Rate Selects  
These two bits select the SPI clock (SCK) rate when the device is configured as mas-  
ter. When the device is configured as slave, these bits have no effect. Refer to Table  
8-1.  
Table 8-1 SPI Clock Rates  
E Clock Frequency at  
Frequency at  
E = 3 MHz  
Frequency at  
E = 4 MHz  
Frequency at  
E = 5 MHz  
SPR[1:0]  
0 0  
Divide By  
E = 2 MHz  
1.0 MHz  
500 kHz  
125 kHz  
62.5 kHz  
2
4
1.5 MHz  
750 kHz  
2.0 MHz  
1.0 MHz  
250 kHz  
125 kHz  
2.5 MHz  
625 kHz  
0 1  
1 0  
16  
32  
187.5 kHz  
93.7 kHz  
156.25 kHz  
78.125 kHz  
1 1  
SERIAL PERIPHERAL INTERFACE  
MC68HC11F1  
TECHNICAL DATA  
8-6  
For More Information On This Product,  
Go to: www.freescale.com  
 
Freescale Semiconductor, Inc.  
8.5.2 Serial Peripheral Status  
SPSR — Serial Peripheral Status Register  
$1029  
Bit 7  
SPIF  
0
6
WCOL  
0
5
0
4
MODF  
0
3
0
2
0
1
0
Bit 0  
RESET:  
0
SPIF — SPI Interrupt Complete Flag  
SPIF is set upon completion of data transfer between the processor and the external  
device. If SPIF goes high, and if SPIE is set, a serial peripheral interrupt is generated.  
To clear the SPIF bit, read the SPSR then access the SPDR. Unless SPSR is read  
(with SPIF set) first, attempts to write SPDR are inhibited.  
WCOL — Write Collision  
Clearing the WCOL bit is accomplished by reading the SPSR followed by an access of  
SPDR. Refer to 8.3.4 Slave Select and 8.4 SPI System Errors.  
0 = No write collision  
1 = Write collision  
Bit 5 — Not implemented  
Always reads zero  
MODF — Mode Fault  
To clear the MODF bit, read the SPSR then write to the SPCR. Refer to 8.3.4 Slave  
Select and 8.4 SPI System Errors.  
0 = No mode fault  
1 = Mode fault  
Bits [3:0] — Not implemented  
Always read zero  
8.5.3 Serial Peripheral Data Register  
The SPDR is used when transmitting or receiving data on the serial bus. Only a write  
to this register initiates transmission or reception of a byte, and this only occurs in the  
master device. At the completion of transferring a byte of data, the SPIF status bit is  
set in both the master and slave devices.  
A read of the SPDR is actually a read of a buffer. To prevent an overrun and the loss  
of the byte that caused the overrun, the first SPIF must be cleared by the time a second  
transfer of data from the shift register to the read buffer is initiated.  
SPDR — SPI Data Register  
$102A  
Bit 7  
Bit 7  
6
6
5
5
4
4
3
3
2
2
1
1
Bit 0  
Bit 0  
SPI is double buffered in and single buffered out.  
SERIAL PERIPHERAL INTERFACE  
TECHNICAL DATA  
8-7  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
SERIAL PERIPHERAL INTERFACE  
MC68HC11F1  
8-8  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
SECTION 9 TIMING SYSTEM  
The M68HC11 timing system is composed of five clock divider chains. The main clock  
divider chain includes a 16-bit free-running counter, which is driven by a programma-  
ble prescaler. The main timer's programmable prescaler provides one of the four  
clocking rates to drive the 16-bit counter. Two prescaler control bits select the prescale  
rate.  
The prescaler output divides the system clock by 1, 4, 8, or 16. Taps off of this main  
clocking chain drive circuitry that generates the slower clocks used by the pulse accu-  
mulator, the real-time interrupt (RTI), and the computer operating properly (COP)  
watchdog subsystems, also described in this section. Refer to Figure 9-1.  
All main timer system activities are referenced to this free-running counter. The  
counter begins incrementing from $0000 as the MCU comes out of reset, and contin-  
ues to the maximum count, $FFFF. At the maximum count, the counter rolls over to  
$0000, sets an overflow flag, and continues to increment. As long as the MCU is run-  
ning in a normal operating mode, there is no way to reset, change, or interrupt the  
counting. The capture/compare subsystem features three input capture channels, four  
output compare channels, and one channel that can be selected to perform either in-  
put capture or output compare. Each of the three input capture functions has its own  
16-bit input capture register (time capture latch) and each of the output compare func-  
tions has its own 16-bit compare register. All timer functions, including the timer over-  
flow and RTI have their own interrupt controls and separate interrupt vectors.  
The pulse accumulator contains an 8-bit counter and edge select logic. The pulse ac-  
cumulator can operate in either event counting mode or gated time accumulation  
mode. During event counting mode, the pulse accumulator's 8-bit counter increments  
when a specified edge is detected on an input signal. During gated time accumulation  
mode, an internal clock source increments the 8-bit counter while an input signal has  
a predetermined logic level.  
The real-time interrupt (RTI) is a programmable periodic interrupt circuit that permits  
pacing the execution of software routines by selecting one of four interrupt rates.  
15  
The COP watchdog clock input (E ÷ 2 ) is tapped off of the free-running counter  
chain. The COP automatically times out unless it is serviced within a specific time by  
a program reset sequence. If the COP is allowed to time out, a reset is generated,  
which drives the RESET pin low to reset the MCU and the external system. Refer to  
Table 9-1 for crystal related frequencies and periods.  
TIMING SYSTEM  
TECHNICAL DATA  
9-1  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
OSCILLATOR AND  
CLOCK GENERATOR  
(DIVIDE BY FOUR)  
AS  
E CLOCK  
INTERNAL BUS CLOCK (PH2)  
PRESCALER  
(÷ 2, 4, 16, 32)  
SPI  
SPR[1:0]  
PRESCALER  
(÷ 1, 3, 4, 13)  
SCP[1:0]  
PRESCALER  
(÷ 1, 2, 4,....128)  
SCR[2:0]  
SCI RECEIVER CLOCK  
SCI TRANSMIT CLOCK  
PULSE ACCUMULATOR  
÷16  
6
E÷2  
PRESCALER  
(÷ 1, 2, 4, 8)  
RTR[1:0]  
13  
E÷2  
REAL-TIME INTERRUPT  
÷4  
15  
E÷2  
PRESCALER  
(÷ 1, 4, 8, 16)  
PR[1:0]  
PRESCALER  
(÷1, 4, 16, 64)  
CR[1:0]  
TCNT  
TOF  
FF1  
FF2  
S
R
Q
Q
S
R
Q
FORCE  
Q
COP  
RESET  
IC/OC  
CLEAR COP  
TIMER  
SYSTEM  
RESET  
Figure 9-1 Timer Clock Divider Chains  
TIMING SYSTEM  
MC68HC11F1  
9-2  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
Table 9-1 Timer Summary  
XTAL Frequencies  
4.0 MHz  
1.0 MHz  
1000 ns  
8.0 MHz  
2.0 MHz  
500 ns  
12.0 MHz  
3.0 MHz  
16.0 MHz  
4.0 MHz  
250 ns  
Other Rates  
(E)  
Control Bits  
333 ns  
(1/E)  
PR1, PR0  
Main Timer Count Rates  
0 0  
1 count —  
overflow —  
1.0 µs  
65.536 ms  
500 ns  
32.768 ms  
333 ns  
21.845 ms  
250 ns  
16.384 ms  
(1/E)  
(2 /E)  
16  
0 1  
1 count —  
overflow —  
4.0 µs  
262.14 ms  
2.0 µs  
131.07 ms  
1.333 µs  
87.381 ms  
1.0 µs  
65.536 ms  
(4/E)  
(2 /E)  
18  
1 0  
1 count —  
overflow —  
8.0 µs  
524.29 ms  
4.0 µs  
262.14 ms  
2.667 µs  
174.76 ms  
2.0 µs  
131.07 ms  
(8/E)  
(2 /E)  
19  
1 1  
1 count —  
overflow —  
16.0 µs  
1.049 s  
8.0 µs  
524.29 ms  
5.333 µs  
349.52 ms  
4.0 µs  
262.14 ms  
(16/E)  
(2 /E)  
20  
9.1 Timer Structure  
Figure 9-2 shows the capture/compare system block diagram. The port A pin control  
block includes logic for timer functions and for general-purpose I/O. For pins PA3,  
PA2, PA1, and PA0, this block contains both the edge-detection logic and the control  
logic that enables the selection of which edge triggers an input capture. The digital lev-  
el on PA[3:0] can be read at any time (read PORTA register), even if the pin is being  
used for the input capture function. Pins PA[6:3] are used for either general-purpose  
I/O, or as output compare pins. When one of these pins is being used for an output  
compare function, it cannot be written directly as if it were a general-purpose output.  
Each of the output compare functions (OC[5:2]) is related to one of the port A output  
pins. Output compare one (OC1) has extra control logic, allowing it optional control of  
any combination of the PA[7:3] pins. The PA7 pin can be used as a general-purpose  
I/O pin, as an input to the pulse accumulator, or as an OC1 output pin.  
TIMING SYSTEM  
TECHNICAL DATA  
9-3  
For More Information On This Product,  
Go to: www.freescale.com  
 
Freescale Semiconductor, Inc.  
PRESCALER–DIVIDE BY  
1, 4, 8, OR 16  
TCNT (HI)  
TCNT (LO)  
TOI  
TO  
9
MCU  
ECLK  
16-BIT FREE-RUNNING  
COUNTER  
PULSE  
TOF  
ACCUMULATOR  
PR1  
PR0  
TAPS FOR RTI, COP  
WATCHDOG AND  
PULSE ACCUMULATOR  
INTERRUPT REQUESTS  
(FURTHER QUALIFIED  
BY I-BIT IN CCR)  
16-BIT TIMER BUS  
TMSK1  
OC1I  
PIN  
FUNCTIONS  
8
TFLG1  
OC1F  
PA7/  
OC1/  
PAI  
CFORC  
=
16-BIT COMPARATOR  
BIT-7  
TOC1 (HI)  
TOC1 (LO)  
FOC1  
FOC2  
FOC3  
FOC4  
FOC5  
OC2I  
OC3I  
OC4I  
I4/O5I  
7
PA6/  
OC2/  
OC1  
=
16-BIT COMPARATOR  
TOC2 (HI)  
OC2F  
OC3F  
OC4F  
BIT-6  
TOC2 (LO)  
6
PA5/  
OC3/  
OC1  
=
16-BIT COMPARATOR  
TOC3 (HI)  
BIT-5  
TOC3 (LO)  
5
=
16-BIT COMPARATOR  
TOC4 (HI)  
PA4/  
OC4/  
OC1  
TOC4 (LO)  
BIT-4  
4
=
OC5  
I4/O5F  
16-BIT COMPARATOR  
PA3/  
OC5/  
IC4/  
TI4/O5 (HI) TI4/O5 (LO)  
16-BIT LATCH CLK  
BIT-3  
OC1  
IC4  
FORCE  
OUTPUT  
COMPARE  
I4/O5  
IC1I  
IC2I  
IC3I  
PA2/  
IC1  
3
2
1
BIT-2  
BIT-1  
BIT-0  
16-BIT LATCH CLK  
IC1F  
IC2F  
IC3F  
TIC1 (HI)  
TIC1 (LO)  
PA1/  
IC2  
16-BIT LATCH CLK  
TIC2 (HI)  
TIC2 (LO)  
PA0/  
IC3  
16-BIT LATCH CLK  
TIC3 (HI)  
TIC3 (LO)  
PORT A  
PIN  
CONTROL  
STATUS  
FLAGS  
INTERRUPT  
ENABLES  
Figure 9-2 Capture/Compare Block Diagram  
TIMING SYSTEM  
MC68HC11F1  
9-4  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
9.2 Input Capture  
The input capture function records the time an external event occurs by latching the  
value of the free-running counter when a selected edge is detected at the associated  
timer input pin. Software can store latched values and use them to compute the peri-  
odicity and duration of events. For example, by storing the times of successive edges  
of an incoming signal, software can determine the period and pulse width of a signal.  
To measure period, two successive edges of the same polarity are captured. To mea-  
sure pulse width, two alternate polarity edges are captured.  
In most cases, input capture edges are asynchronous to the internal timer counter,  
which is clocked relative to an internal clock (PH2). These asynchronous capture re-  
quests are synchronized to PH2 so that the latching occurs on the opposite half cycle  
of PH2 from when the timer counter is being incremented. This synchronization pro-  
cess introduces a delay from when the edge occurs to when the counter value is de-  
tected. Because these delays offset each other when the time between two edges is  
being measured, the delay can be ignored. When an input capture is being used with  
an output compare, there is a similar delay between the actual compare point and  
when the output pin changes state.  
The control and status bits that implement the input capture functions are contained in  
the PACTL, TCTL2, TMSK1, and TFLG1 registers.  
To configure port A bit 3 as an input capture, clear the DDA3 bit of the DDRA register.  
Note that this bit is cleared out of reset. To enable PA3 as the fourth input capture, set  
the I4/O5 bit in the PACTL register. Otherwise, PA3 is configured as a fifth output com-  
pare out of reset, with bit I4/O5 being cleared. If the DDA3 bit is set (configuring PA3  
as an output), and IC4 is enabled, then writes to PA3 cause edges on the pin to result  
in input captures. Writing to TI4/O5 has no effect when the TI4/O5 register is acting as  
IC4.  
9.2.1 Timer Control Register 2  
Use the control bits of this register to program input capture functions to detect a par-  
ticular edge polarity on the corresponding timer input pin. Each of the input capture  
functions can be independently configured to detect rising edges only, falling edges  
only, any edge (rising or falling), or to disable the input capture function. The input cap-  
ture functions operate independently of each other and can capture the same TCNT  
value if the input edges are detected within the same timer count cycle.  
TCTL2 — Timer Control 2  
$1021  
Bit 7  
EDG4B  
0
6
EDG4A  
0
5
EDG1B  
0
4
EDG1A  
0
3
EDG2B  
0
2
EDG2A  
0
1
EDG3B  
0
Bit 0  
EDG3A  
0
RESET:  
EDGxB and EDGxA — Input Capture Edge Control  
There are four pairs of these bits. Each pair is cleared to zero by reset and must be  
encoded to configure the corresponding input capture edge detector circuit. IC4 func-  
tions only if the I4/O5 bit in the PACTL register is set. Refer to Table 9-2 for timer con-  
trol configuration.  
TIMING SYSTEM  
TECHNICAL DATA  
9-5  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
9.2.2 Timer Input Capture Registers  
When an edge has been detected and synchronized, the 16-bit free-running counter  
value is transferred into the input capture register pair as a single 16-bit parallel trans-  
fer. Timer counter value captures and timer counter incrementing occur on opposite  
half-cycles of the phase 2 clock so that the count value is stable whenever a capture  
occurs. The TICx registers are not affected by reset. Input capture values can be read  
from a pair of 8-bit read-only registers. A read of the high-order byte of an input capture  
register pair inhibits a new capture transfer for one bus cycle. If a double-byte read in-  
struction, such as LDD, is used to read the captured value, coherency is assured.  
When a new input capture occurs immediately after a high-order byte read, transfer is  
delayed for an additional cycle but the value is not lost.  
TIC1–TIC3 — Timer Input Capture  
$1010–$1015  
$1010  
$1011  
$1012  
$1013  
$1014  
$1015  
Bit 15  
Bit 7  
14  
6
13  
5
12  
4
11  
3
10  
2
9
1
9
1
9
1
Bit 8  
Bit 0  
Bit 8  
Bit 0  
Bit 8  
Bit 0  
TIC1 (High)  
TIC1 (Low)  
TIC2 (High)  
TIC2 (Low)  
TIC3 (High)  
TIC3 (Low)  
Bit 15  
Bit 7  
14  
6
13  
5
12  
4
11  
3
10  
2
Bit 15  
Bit 7  
14  
6
13  
5
12  
4
11  
3
10  
2
TICx not affected by reset.  
9.2.3 Timer Input Capture 4/Output Compare 5 Register  
Use TI4/O5 as either an input capture register or an output compare register, depend-  
ing on the function chosen for the PA3 pin. To enable it as an input capture pin, set the  
I4/O5 bit in the pulse accumulator control register (PACTL) to logic level one. To use  
it as an output compare register, set the I4/O5 bit to a logic level zero. Refer to 9.6  
Pulse Accumulator.  
TI4/O5 — Timer Input Capture 4/Output Compare 5  
$101E, $101F  
$101E  
$101F  
Bit 15  
Bit 7  
14  
6
13  
5
12  
4
11  
3
10  
2
9
1
Bit 8  
Bit 0  
TI4/O5 (High)  
TI4/O5 (Low)  
The TI4/O5 register pair resets to ones ($FFFF).  
9.3 Output Compare  
Use the output compare (OC) function to program an action to occur at a specific time  
— when the 16-bit counter reaches a specified value. For each of the five output com-  
pare functions, there is a separate 16-bit compare register and a dedicated 16-bit com-  
parator. The value in the compare register is compared to the value of the free-running  
counter on every bus cycle. When the compare register matches the counter value, an  
output compare status flag is set. The flag can be used to initiate the automatic actions  
for that output compare function.  
TIMING SYSTEM  
MC68HC11F1  
9-6  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
To produce a pulse of a specific duration, write a value to the output compare register  
that represents the time the leading edge of the pulse is to occur. The output compare  
circuit is configured to set the appropriate output either high or low, depending on the  
polarity of the pulse being produced. After a match occurs, the output compare register  
is reprogrammed to change the output pin back to its inactive level at the next match.  
A value representing the width of the pulse is added to the original value, and then writ-  
ten to the output compare register. Because the pin state changes occur at specific  
values of the free-running counter, the pulse width can be controlled accurately at the  
resolution of the free-running counter, independent of software latencies. To generate  
an output signal of a specific frequency and duty cycle, repeat this pulse-generating  
procedure.  
There are four 16-bit read/write output compare registers: TOC1, TOC2, TOC3, and  
TOC4, and the TI4/O5 register, which functions under software control as either IC4  
or OC5. Each of the OC registers is set to $FFFF on reset. A value written to an OC  
register is compared to the free-running counter value during each E-clock cycle. If a  
match is found, the particular output compare flag is set in timer interrupt flag register  
1 (TFLG1). If that particular interrupt is enabled in the timer interrupt mask register 1  
(TMSK1), an interrupt is generated. In addition to an interrupt, a specified action can  
be initiated at one or more timer output pins. For OC[5:2], the pin action is controlled  
by pairs of bits (OMx and OLx) in the TCTL1 register. The output action is taken on  
each successful compare, regardless of whether or not the OCxF flag in the TFLG1  
register was previously cleared.  
OC1 is different from the other output compares in that a successful OC1 compare can  
affect any or all five of the OC pins. The OC1 output action taken when a match is  
found is controlled by two 8-bit registers with three bits unimplemented: the output  
compare 1 mask register, OC1M, and the output compare 1 data register, OC1D.  
OC1M specifies which port A outputs are to be used, and OC1D specifies what data  
is placed on these port pins.  
9.3.1 Timer Output Compare Registers  
All output compare registers are 16-bit read-write. Each is initialized to $FFFF at reset.  
If an output compare register is not used for an output compare function, it can be used  
as a storage location. A write to the high-order byte of an output compare register pair  
inhibits the output compare function for one bus cycle. This inhibition prevents inap-  
propriate subsequent comparisons. Coherency requires a complete 16-bit read or  
write. However, if coherency is not needed, byte accesses can be used.  
For output compare functions, write a comparison value to output compare registers  
TOC1–TOC4 and TI4/O5. When TCNT value matches the comparison value, speci-  
fied pin actions occur.  
TIMING SYSTEM  
TECHNICAL DATA  
9-7  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
TOC1–TOC4 — Timer Output Compare  
$1016–$101D  
$1016  
$1017  
$1018  
$1019  
$101A  
$101B  
$101C  
$101D  
Bit 15  
Bit 7  
14  
6
13  
5
12  
4
11  
3
10  
2
9
1
9
1
9
1
9
1
Bit 8  
Bit 0  
Bit 8  
Bit 0  
Bit 8  
Bit 0  
Bit 8  
Bit 0  
TOC1 (High)  
TOC1 (Low)  
TOC2 (High)  
TOC2 (Low)  
TOC3 (High)  
TOC3 (Low)  
TOC4 (High)  
TOC4 (Low)  
Bit 15  
Bit 7  
14  
6
13  
5
12  
4
11  
3
10  
2
Bit 15  
Bit 7  
14  
6
13  
5
12  
4
11  
3
10  
2
Bit 15  
Bit 7  
14  
6
13  
5
12  
4
11  
3
10  
2
All TOCx register pairs reset to ones ($FFFF).  
9.3.2 Timer Compare Force Register  
The CFORC register allows forced early compares. FOC[1:5] correspond to the five  
output compares. These bits are set for each output compare that is to be forced. The  
action taken as a result of a forced compare is the same as if there were a match be-  
tween the OCx register and the free-running counter, except that the corresponding  
interrupt status flag bits are not set. The forced channels trigger their programmed pin  
actions to occur at the next timer count transition after the write to CFORC.  
The CFORC bits should not be used on an output compare function that is pro-  
grammed to toggle its output on a successful compare because a normal compare that  
occurs immediately before or after the force can result in an undesirable operation.  
CFORC — Timer Compare Force  
$100B  
Bit 7  
FOC1  
0
6
FOC2  
0
5
FOC3  
0
4
FOC4  
0
3
FOC5  
0
2
0
1
0
Bit 0  
RESET:  
0
FOC[1:5] — Force Output Comparison  
When the FOC bit associated with an output compare circuit is set, the output compare  
circuit immediately performs the action it is programmed to do when an output match  
occurs.  
0 = Not affected  
1 = Output x action occurs  
Bits [2:0] — Not implemented  
Always read zero  
9.3.3 Output Compare Mask Registers  
Use OC1M with OC1 to specify the bits of port A that are affected by a successful OC1  
compare. The bits of the OC1M register correspond to PA[7:3].  
TIMING SYSTEM  
MC68HC11F1  
9-8  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
OC1M — Output Compare 1 Mask  
$100C  
Bit 7  
OC1M7  
0
6
OC1M6  
0
5
OC1M5  
0
4
OC1M4  
0
3
OC1M3  
0
2
0
1
0
Bit 0  
RESET:  
0
OC1M[7:3] — Output Compare Masks  
0 = OC1 is disabled.  
1 = OC1 is enabled to control the corresponding pin of port A  
Bits [2:0] — Not implemented  
Always read zero  
9.3.4 Output Compare Data Register  
Use this register with OC1 to specify the data that is to be stored on the affected pin  
of port A after a successful OC1 compare. When a successful OC1 compare occurs,  
a data bit in OC1D is stored in the corresponding bit of port A for each bit that is set in  
OC1M.  
OC1D — Output Compare 1 Data  
$100D  
Bit 7  
OC1D7  
0
6
OC1D6  
0
5
OC1D5  
0
4
OC1D4  
0
3
OC1D3  
0
2
0
1
0
Bit 0  
RESET:  
0
If OC1Mx is set, data in OC1Dx is output to port A bit x on successful OC1 compares.  
Bits [2:0] — Not implemented  
Always read zero  
9.3.5 Timer Counter Register  
The 16-bit read-only TCNT register contains the prescaled value of the 16-bit timer. A  
full counter read addresses the most significant byte (MSB) first. A read of this address  
causes the least significant byte (LSB) to be latched into a buffer for the next CPU cy-  
cle so that a double-byte read returns the full 16-bit state of the counter at the time of  
the MSB read cycle.  
TCNT — Timer Counter  
$100E, $100F  
$100E  
$100F  
Bit 15  
Bit 7  
14  
6
13  
5
12  
4
11  
3
10  
2
9
1
Bit 8  
Bit 0  
TCNT (High)  
TCNT (Low)  
TCNT resets to $0000. In normal modes, TCNT is a read-only register.  
9.3.6 Timer Control Register 1  
The bits of this register specify the action taken as a result of a successful OCx com-  
pare.  
TIMING SYSTEM  
TECHNICAL DATA  
9-9  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
TCTL1 — Timer Control 1  
$1020  
Bit 7  
OM2  
0
6
OL2  
0
5
OM3  
0
4
OL3  
0
3
OM4  
0
2
OL4  
0
1
OM5  
0
Bit 0  
OL5  
0
RESET:  
OM[2:5] — Output Mode  
OL[2:5] — Output Level  
These control bit pairs are encoded to specify the action taken after a successful OCx  
compare. OC5 functions only if the I4/O5 bit in the PACTL register is clear. Refer to  
Table 9-3 for the coding.  
Table 9-2 Timer Output Compare Configuration  
OMx  
OLx  
Action Taken on Successful Compare  
Timer disconnected from output pin logic  
Toggle OCx output line  
0
0
1
1
0
1
0
1
Clear OCx output line to zero  
Set OCx output line to one  
9.3.7 Timer Interrupt Mask Register 1  
Use this 8-bit register to enable or inhibit the timer input capture and output compare  
interrupts.  
TMSK1 — Timer Interrupt Mask 1  
$1022  
Bit 7  
OC1I  
0
6
OC2I  
0
5
OC3I  
0
4
OC4I  
0
3
I4/O5I  
0
2
IC1I  
0
1
IC2I  
0
Bit 0  
IC3I  
0
RESET:  
OC1I–OC4I — Output Compare x Interrupt Enable  
If the OCxI enable bit is set when the OCxF flag bit is set, a hardware interrupt se-  
quence is requested.  
I4/O5I — Input Capture 4/Output Compare 5 Interrupt Enable  
When I4/O5 in PACTL is one, I4/O5I is the input capture 4 interrupt enable bit. When  
I4/O5 in PACTL is zero, I4/O5I is the output compare 5 interrupt enable bit.  
IC1I–IC3I — Input Capture x Interrupt Enable  
If the ICxI enable bit is set when the ICxF flag bit is set, a hardware interrupt sequence  
is requested.  
NOTE  
Bits in TMSK1 correspond bit for bit with flag bits in TFLG1. Ones in  
TMSK1 enable the corresponding interrupt sources.  
TIMING SYSTEM  
MC68HC11F1  
9-10  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
9.3.8 Timer Interrupt Flag Register 1  
Bits in this register indicate when timer system events have occurred. Coupled with  
the bits of TMSK1, the bits of TFLG1 allow the timer subsystem to operate in either a  
polled or interrupt driven system. Each bit of TFLG1 corresponds to a bit in TMSK1 in  
the same position.  
TFLG1 — Timer Interrupt Flag 1  
$1023  
Bit 7  
OC1F  
0
6
OC2F  
0
5
OC3F  
0
4
OC4F  
0
3
I4/O5F  
0
2
IC1F  
0
1
IC2F  
0
Bit 0  
IC3F  
0
RESET:  
Clear flags by writing a one to the corresponding bit position(s).  
OC1F–OC4F — Output Compare x Flag  
Set each time the counter matches output compare x value  
I4/O5F — Input Capture 4/Output Compare 5 Flag  
Set by IC4 or OC5, depending on the function enabled by I4/O5 bit in PACTL  
IC1F–IC3F — Input Capture x Flag  
Set each time a selected active edge is detected on the ICx input line  
9.3.9 Timer Interrupt Mask Register 2  
Use this 8-bit register to enable or inhibit timer overflow and real-time interrupts. The  
timer prescaler control bits are included in this register.  
TMSK2 — Timer Interrupt Mask 2  
$1024  
Bit 7  
TOI  
0
6
RTII  
0
5
PAOVI  
0
4
PAII  
0
3
0
2
0
1
PR1  
0
Bit 0  
PR0  
0
RESET:  
TOI — Timer Overflow Interrupt Enable  
0 = TOF interrupts disabled  
1 = Interrupt requested when TOF is set to one  
RTII — Real-Time Interrupt Enable  
Refer to 9.4 Real-Time Interrupt.  
PAOVI — Pulse Accumulator Overflow Interrupt Enable  
Refer to 9.6.3 Pulse Accumulator Status and Interrupt Bits.  
PAII — Pulse Accumulator Input Edge Interrupt Enable  
Refer to 9.6.3 Pulse Accumulator Status and Interrupt Bits.  
PR[1:0] — Timer Prescaler Select  
These bits are used to select the prescaler divide-by ratio. In normal modes, PR[1:0]  
can only be written once, and the write must be within 64 cycles after reset. Refer to  
Table 9-1 and Table 9-4 for specific timing values.  
TIMING SYSTEM  
TECHNICAL DATA  
9-11  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
Table 9-3 Timer Prescaler Selection  
PR[1:0]  
0 0  
Prescaler  
1
4
0 1  
1 0  
8
1 1  
16  
NOTE  
Bits in TMSK2 correspond bit for bit with flag bits in TFLG2. Ones in  
TMSK2 enable the corresponding interrupt sources.  
9.3.10 Timer Interrupt Flag Register 2  
Bits in this register indicate when certain timer system events have occurred. Coupled  
with the four high-order bits of TMSK2, the bits of TFLG2 allow the timer subsystem to  
operate in either a polled or interrupt driven system. Each bit of TFLG2 corresponds  
to a bit in TMSK2 in the same position.  
TFLG2 — Timer Interrupt Flag 2  
$1025  
Bit 7  
TOF  
0
6
RTIF  
0
5
PAOVF  
0
4
PAIF  
0
3
0
2
0
1
0
Bit 0  
RESET:  
0
Clear flags by writing a one to the corresponding bit position(s).  
TOF — Timer Overflow Interrupt Flag  
Set when TCNT changes from $FFFF to $0000  
RTIF — Real-Time (Periodic) Interrupt Flag  
Refer to 9.4 Real-Time Interrupt.  
PAOVF — Pulse Accumulator Overflow Interrupt Flag  
Refer to 9.6 Pulse Accumulator.  
PAIF — Pulse Accumulator Input Edge Interrupt Flag  
Refer to 9.6 Pulse Accumulator.  
Bits [3:0] — Not implemented  
Always read zero  
9.4 Real-Time Interrupt  
The real-time interrupt (RTI) feature, used to generate hardware interrupts at a fixed  
periodic rate, is controlled and configured by two bits (RTR1 and RTR0) in the pulse  
accumulator control (PACTL) register. The RTII bit in the TMSK2 register enables the  
interrupt capability. The four different rates available are a product of the MCU oscil-  
lator frequency and the value of bits RTR[1:0]. Refer to Table 9-4, which shows the  
periodic real-time interrupt rates.  
TIMING SYSTEM  
MC68HC11F1  
9-12  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
 
Freescale Semiconductor, Inc.  
Table 9-4 RTI Rate Selection  
RTR[1:0]  
E = 1 MHz  
E = 2 MHz  
E = 3 MHz  
E = 4 MHz  
E = X MHz  
13  
0 0  
0 1  
1 0  
1 1  
8.192 ms  
16.384 ms  
32.768 ms  
65.536 ms  
4.096 ms  
8.192 ms  
16.384 ms  
32.768 ms  
2.731 ms  
5.461 ms  
10.923 ms  
21.845 ms  
2.048 ms  
4.096 ms  
8.192 ms  
16.384 ms  
(2 /E)  
14  
(2 /E)  
15  
(2 /E)  
16  
(2 /E)  
The clock source for the RTI function is a free-running clock that cannot be stopped or  
interrupted except by reset. This clock causes the time between successive RTI time-  
outs to be a constant that is independent of the software latencies associated with flag  
clearing and service. For this reason, an RTI period starts from the previous time-out,  
not from when RTIF is cleared.  
Every time-out causes the RTIF bit in TFLG2 to be set, and if RTII is set, an interrupt  
request is generated. After reset, one entire RTI period elapses before the RTIF flag  
is set for the first time. Refer to the TMSK2, TFLG2, and PACTL registers.  
9.4.1 Timer Interrupt Mask Register 2  
This register contains the real-time interrupt enable bits.  
TMSK2 — Timer Interrupt Mask Register 2  
$1024  
Bit 7  
TOI  
0
6
RTII  
0
5
PAOVI  
0
4
PAII  
0
3
0
2
0
1
PR1  
0
Bit 0  
PR0  
0
RESET:  
TOI — Timer Overflow Interrupt Enable  
0 = TOF interrupts disabled  
1 = Interrupt requested when TOF is set to one  
RTII — Real-Time Interrupt Enable  
0 = RTIF interrupts disabled  
1 = Interrupt requested when RTIF set to one  
PAOVI — Pulse Accumulator Overflow Interrupt Enable  
Refer to 9.6 Pulse Accumulator.  
PAII — Pulse Accumulator Input Edge  
Refer to 9.6 Pulse Accumulator.  
PR[1:0] — Timer Prescaler Select  
Refer to Table 9-4.  
NOTE  
Bits in TMSK2 correspond bit for bit with flag bits in TFLG2. Ones in  
TMSK2 enable the corresponding interrupt sources.  
TIMING SYSTEM  
TECHNICAL DATA  
9-13  
For More Information On This Product,  
Go to: www.freescale.com  
 
Freescale Semiconductor, Inc.  
9.4.2 Timer Interrupt Flag Register 2  
Bits of this register indicate the occurrence of timer system events. Coupled with the  
four high-order bits of TMSK2, the bits of TFLG2 allow the timer subsystem to operate  
in either a polled or interrupt driven system. Each bit of TFLG2 corresponds to a bit in  
TMSK2 in the same position.  
TFLG2 — Timer Interrupt Flag 2  
$1025  
Bit 7  
TOF  
0
6
RTIF  
0
5
PAOVF  
0
4
PAIF  
0
3
0
2
0
1
0
Bit 0  
RESET:  
0
Clear flags by writing a one to the corresponding bit position(s).  
TOF — Timer Overflow Interrupt Flag  
Set when TCNT changes from $FFFF to $0000  
RTIF — Real-Time Interrupt Flag  
The RTIF status bit is automatically set to one at the end of every RTI period. To clear  
RTIF, write a byte to TFLG2 with bit 6 set.  
PAOVF — Pulse Accumulator Overflow Interrupt Flag  
Refer to 9.6 Pulse Accumulator.  
PAIF — Pulse Accumulator Input Edge Interrupt Flag  
Refer to 9.6 Pulse Accumulator.  
Bits [3:0] — Not implemented  
Always read zero  
9.4.3 Pulse Accumulator Control Register  
Bits RTR[1:0] of this register select the rate for the RTI system. The remaining bits con-  
trol the pulse accumulator and IC4/OC5 functions.  
PACTL — Pulse Accumulator Control  
$1026  
Bit 7  
6
PAEN  
0
5
PAMOD  
0
4
PEDGE  
0
3
0
2
I4/O5  
0
1
RTR1  
0
Bit 0  
RTR0  
0
RESET:  
0
Bit 7 — Not implemented  
Always reads zero  
PAEN — Pulse Accumulator System Enable  
Refer to 9.6 Pulse Accumulator.  
PAMOD — Pulse Accumulator Mode  
Refer to 9.6 Pulse Accumulator.  
TIMING SYSTEM  
MC68HC11F1  
9-14  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
PEDGE — Pulse Accumulator Edge Control  
Refer to 9.6 Pulse Accumulator.  
Bit 3 — Not implemented  
Always reads zero  
I4/O5 — Input Capture 4/Output Compare  
Refer to 9.6 Pulse Accumulator.  
RTR[1:0] — RTI Interrupt Rate Select  
These two bits determine the rate at which the RTI system requests interrupts. The  
13  
RTI system is driven by an E divided by 2 rate clock that is compensated so it is in-  
dependent of the timer prescaler. These two control bits select an additional division  
factor. Refer to Table 9-5.  
9.5 Computer Operating Properly Watchdog Function  
The clocking chain for the COP function, tapped off of the main timer divider chain, is  
only superficially related to the main timer system. The CR[1:0] bits in the OPTION  
register and the NOCOP bit in the CONFIG register determine the status of the COP  
function. One additional register, COPRST, is used to arm and clear the COP watch-  
dog reset system. Refer to SECTION 5 RESETS AND INTERRUPTS for a more de-  
tailed discussion of the COP function.  
9.6 Pulse Accumulator  
The MC68HC11F1 MCUs have an 8-bit counter that can be configured to operate ei-  
ther as a simple event counter, or for gated time accumulation, depending on the state  
of the PAMOD bit in the PACTL register. Refer to the pulse accumulator block dia-  
gram, Figure 9-3.  
In the event counting mode, the 8-bit counter is incremented by pulses on an external  
pin (PAI). The maximum clocking rate for the external event counting mode is the E  
clock divided by two. In gated time accumulation mode, a free-running E-clock ÷ 64  
signal drives the 8-bit counter, but only while the external PAI pin is activated. Refer  
to Table 9-6. The pulse accumulator counter can be read or written at any time.  
TIMING SYSTEM  
TECHNICAL DATA  
9-15  
For More Information On This Product,  
Go to: www.freescale.com  
 
Freescale Semiconductor, Inc.  
PAOVI  
1
PAOVF  
INTERRUPT  
REQUESTS  
PAII  
2
PAIF  
E ÷ 64 CLOCK  
(FROM MAIN TIMER)  
P
P
P
P AI  
TMSK2 INT ENABLES  
TFLG2 INTERRUPT STATUS  
PAI EDGE  
PAEN  
DISABLE  
FLAG SETTING  
OVERFLOW  
PACNT 8-BIT COUNTER  
ENABLE  
PIN  
2:1  
MUX  
CLOCK  
PAEN  
PA7/  
PAI/  
OC1  
INPUT BUFFER  
AND  
EDGE DETECTOR  
DATA BUS  
OUTPUT  
BUFFER  
FROM  
MAIN TIMER  
OC1  
FROM DATA  
DIRECTION  
BIT FOR  
PACTL CONTROL  
PORT A PIN 7  
INTERNAL  
DATA BUS  
Figure 9-3 Pulse Accumulator  
Table 9-5 Pulse Accumulator Timing  
6
Crystal Frequency  
E Clock  
(E)  
Cycle Time  
(1/E)  
2 /E  
PACNT Overflow  
(4 E)  
(64/E)  
(16384/E)  
16.384 ms  
8.192 ms  
5.461 ms  
4.096 ms  
4.0 MHz  
8.0 MHz  
12.0 MHz  
16.0 MHz  
1.0 MHz  
2.0 MHz  
3.0 MHz  
4.0 MHz  
1000 ns  
500 ns  
333 ns  
250 ns  
64 µs  
32 µs  
21.33 µs  
16.0 µs  
Pulse accumulator control bits are also located within two timer registers, TMSK2 and  
TFLG2, as described in the following paragraphs.  
9.6.1 Pulse Accumulator Control Register  
Four of this register's bits control an 8-bit pulse accumulator system. Another bit en-  
ables either the OC5 function or the IC4 function, while two other bits select the rate  
for the real-time interrupt system.  
TIMING SYSTEM  
MC68HC11F1  
9-16  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
PACTL — Pulse Accumulator Control  
$1026  
Bit 7  
6
PAEN  
0
5
PAMOD  
0
4
PEDGE  
0
3
0
2
I4/O5  
0
1
RTR1  
0
Bit 0  
RTR0  
0
RESET:  
0
Bit 7 — Not implemented  
Always reads zero  
PAEN — Pulse Accumulator System Enable  
0 = Pulse accumulator disabled  
1 = Pulse accumulator enabled  
PAMOD — Pulse Accumulator Mode  
0 = Event counter  
1 = Gated time accumulation  
PEDGE — Pulse Accumulator Edge Control  
This bit has different meanings depending on the state of the PAMOD bit, as shown in  
Table 9-6.  
Table 9-6 Pulse Accumulator Edge Detection Control  
PAMOD  
PEDGE  
Action on Clock  
0
0
1
1
0
1
0
1
PAI falling edge increments the counter.  
PAI rising edge increments the counter.  
A zero on PAI inhibits counting.  
A one on PAI inhibits counting.  
Bit 3 — Not implemented  
Always reads zero  
I4/O5 — Input Capture 4/Output Compare 5  
0 = Output compare 5 function enable (No IC4)  
1 = Input capture 4 function enable (No OC5)  
RTR[1:0] — RTI Interrupt Rate Selects  
Refer to 9.4 Real-Time Interrupt.  
9.6.2 Pulse Accumulator Count Register  
This 8-bit read/write register contains the count of external input events at the PAI in-  
put, or the accumulated count. The PACNT is readable even if PAI is not active in gat-  
ed time accumulation mode. The counter is not affected by reset and can be read or  
written at any time. Counting is synchronized to the internal PH2 clock so that incre-  
menting and reading occur during opposite half cycles.  
PACNT — Pulse Accumulator Count  
$1027  
Bit 7  
Bit 7  
6
6
5
5
4
4
3
3
2
2
1
1
Bit 0  
Bit 0  
TIMING SYSTEM  
TECHNICAL DATA  
9-17  
For More Information On This Product,  
Go to: www.freescale.com  
 
Freescale Semiconductor, Inc.  
9.6.3 Pulse Accumulator Status and Interrupt Bits  
The pulse accumulator control bits, PAOVI, PAII, PAOVF, and PAIF are located within  
timer registers TMSK2 and TFLG2.  
TMSK2 — Timer Interrupt Mask 2 Register  
$1024  
Bit 7  
TOI  
0
6
RTII  
0
5
PAOVI  
0
4
PAII  
0
3
0
2
0
1
PR1  
0
Bit 0  
PR0  
0
RESET:  
TFLG2 — Timer Interrupt Flag 2 Register  
$1025  
Bit 7  
TOF  
0
6
RTIF  
0
5
PAOVF  
0
4
PAIF  
0
3
0
2
0
1
0
Bit 0  
RESET:  
0
PAOVI and PAOVF — Pulse Accumulator Interrupt Enable and Overflow Flag  
The PAOVF status bit is set each time the pulse accumulator count rolls over from $FF  
to $00. To clear this status bit, write a one in the corresponding data bit position (bit 5)  
of the TFLG2 register. The PAOVI control bit allows configuring the pulse accumulator  
overflow for polled or interrupt-driven operation and does not affect the state of  
PAOVF. When PAOVI is zero, pulse accumulator overflow interrupts are inhibited, and  
the system operates in a polled mode, which requires that PAOVF be polled by user  
software to determine when an overflow has occurred. When the PAOVI control bit is  
set, a hardware interrupt request is generated each time PAOVF is set. Before leaving  
the interrupt service routine, software must clear PAOVF by writing to the TFLG2 reg-  
ister.  
PAII and PAIF — Pulse Accumulator Input Edge Interrupt Enable and Flag  
The PAIF status bit is automatically set each time a selected edge is detected at the  
PA7/PAI/OC1 pin. To clear this status bit, write to the TFLG2 register with a one in the  
corresponding data bit position (bit 4). The PAII control bit allows configuring the pulse  
accumulator input edge detect for polled or interrupt-driven operation but does not af-  
fect setting or clearing the PAIF bit. When PAII is zero, pulse accumulator input inter-  
rupts are inhibited, and the system operates in a polled mode. In this mode, the PAIF  
bit must be polled by user software to determine when an edge has occurred. When  
the PAII control bit is set, a hardware interrupt request is generated each time PAIF is  
set. Before leaving the interrupt service routine, software must clear PAIF by writing to  
the TFLG2 register.  
TIMING SYSTEM  
MC68HC11F1  
9-18  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
SECTION 10 ANALOG-TO-DIGITAL CONVERTER  
The analog-to-digital (A/D) system, a successive approximation converter, uses an all-  
capacitive charge redistribution technique to convert analog signals to digital values.  
10.1 Overview  
The A/D system is an 8-channel, 8-bit, multiplexed-input converter. The AV  
pin is  
DD  
used to input supply voltage to the A/D converter. This allows the supply voltage to be  
bypassed independently. The converter does not require external sample and hold cir-  
cuits because of the type of charge redistribution technique used. A/D converter timing  
can be synchronized to the system E clock, or to an internal resistor capacitor (RC)  
oscillator. The A/D converter system consists of four functional blocks: multiplexer, an-  
alog converter, digital control, and result storage. Refer to Figure 10-1.  
10.1.1 Multiplexer  
The multiplexer selects one of 16 inputs for conversion. Input selection is controlled by  
the value of bits CD–CA in the ADCTL register. The eight port E pins are fixed-direc-  
tion analog inputs to the multiplexer, and internal analog signal lines are routed to it.  
ANALOG-TO-DIGITAL CONVERTER  
TECHNICAL DATA  
10-1  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
PE0/  
AN0  
V
V
RH  
8-BIT CAPACITIVE DAC  
WITH SAMPLE AND HOLD  
PE1/  
AN1  
RL  
PE2/  
AN2  
SUCCESSIVE APPROXIMATION  
REGISTER AND CONTROL  
PE3/  
AN3  
RESULT  
ANALOG  
MUX  
PE4/  
AN4  
PE5/  
AN5  
INTERNAL  
DATA BUS  
PE6/  
AN6  
PE7/  
AN7  
ADCTL A/D CONTROL  
RESULT REGISTER INTERFACE  
ADDR 1 A/D RESULT 1  
ADDR 2 A/D RESULT 2  
ADDR 3 A/D RESULT 3  
ADDR 4 A/D RESULT 4  
Figure 10-1 A/D Converter Block Diagram  
Port E pins can also be used as digital inputs. Reads of port E pins are not recom-  
mended during the sample portion of an A/D conversion cycle, when the gate signal  
to the N-channel input gate is on. Because no P-channel devices are directly connect-  
ed to either input pins or reference voltage pins, voltages above V  
do not cause a  
DD  
latchup problem, although current should be limited according to maximum ratings.  
Refer to Figure 10-2, which is a functional diagram of an input pin.  
ANALOG-TO-DIGITAL CONVERTER  
MC68HC11F1  
10-2  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
INPUT  
DIFFUSION AND  
PROTECTION  
POLY COUPLER  
DEVICE  
ANALOG  
INPUT  
PIN  
4 kΩ  
*
20 pF  
< 2 pF  
~
+
20 V  
0.7 V  
~
~
400 nA  
JUNCTION  
LEAKAGE  
DAC  
CAPACITANCE  
V
RL  
*
This analog switch is closed only during the 12-cycle sample time.  
Figure 10-2 Electrical Model of an A/D Input Pin (Sample Mode)  
10.1.2 Analog Converter  
Conversion of an analog input selected by the multiplexer occurs in this block. It con-  
tains a digital-to-analog capacitor (DAC) array, a comparator, and a successive ap-  
proximation register (SAR). Each conversion is a sequence of eight comparison  
operations, beginning with the most significant bit (MSB). Each comparison deter-  
mines the value of a bit in the successive approximation register.  
The DAC array performs two functions. It acts as a sample and hold circuit during the  
entire conversion sequence, and provides comparison voltage to the comparator dur-  
ing each successive comparison.  
The result of each successive comparison is stored in the SAR. When a conversion  
sequence is complete, the contents of the SAR are transferred to the appropriate re-  
sult register.  
A charge pump provides switching voltage to the gates of analog switches in the mul-  
tiplexer. Charge pump output must stabilize between 7 and 8 volts, thus a delay of up  
to 100 µs must be imposed after setting ADPU before the converter can be used. The  
charge pump is enabled by the ADPU bit in the OPTION register.  
Power is provided to the A/D converter system through the AV  
and AV pins.  
SS  
DD  
10.1.3 Digital Control  
All A/D converter operations are controlled by bits in register ADCTL. In addition to se-  
lecting the analog input to be converted, ADCTL bits indicate conversion status, and  
control whether single or continuous conversions are performed. Finally, the ADCTL  
bits determine whether conversions are performed on single or multiple channels.  
10.1.4 Result Registers  
Four 8-bit registers (ADR1–ADR4) store conversion results. Each of these registers  
can be accessed by the processor in the CPU. The conversion complete flag (CCF)  
ANALOG-TO-DIGITAL CONVERTER  
TECHNICAL DATA  
10-3  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
indicates when valid data is present in the result registers. The result registers are writ-  
ten during a portion of the system clock cycle when reads do not occur, so there is no  
conflict.  
10.1.5 A/D Converter Clocks  
The CSEL bit in the OPTION register selects whether the A/D converter uses the sys-  
tem E clock or an internal RC oscillator for synchronization. When the A/D system is  
operating with the MCU E clock, all switching and comparator functions are synchro-  
nized to the MCU clocks. This allows the comparator results to be sampled at relatively  
quiet clock times to minimize noise errors.  
When E-clock frequency is below 750 kHz, charge leakage in the capacitor array can  
cause errors, and the internal oscillator should be used. The RC clock is asynchronous  
to the MCU internal E clock. Therefore, when the RC clock is used, additional errors  
can occur because the comparator is sensitive to the additional system clock noise.  
10.1.6 Conversion Sequence  
A/D converter operations are performed in sequences of four conversions each. A  
conversion sequence can repeat continuously or stop after one iteration. The conver-  
sion complete flag (CCF) is set after the fourth conversion in a sequence to show the  
availability of data in the result registers. Figure 10-3 shows the timing of a typical se-  
quence. Synchronization is referenced to the system E clock.  
E CLOCK  
MSB  
4
CYCLES  
BIT 6 BIT 5 BIT 4 BIT 3 BIT 2 BIT 1 LSB  
WRITE  
TO  
12 E CYCLES  
2
2
2
2
2
2
2
2
CYC CYC CYC CYC CYC CYC CYC CYC  
ADCTL  
SAMPLE ANALOG INPUT  
SUCCESSIVE APPROXIMATION SEQUENCE END  
REPEAT  
SEQUENCE  
IF  
SCAN = 1  
SET  
CCF  
FLAG  
CONVERT FIRST  
CHANNEL  
AND UPDATE ADDR1  
CONVERT SECOND  
CHANNEL  
AND UPDATE ADDR2  
CONVERT THIRD  
CHANNEL  
AND UPDATE ADDR3  
CONVERT FOURTH  
CHANNEL  
AND UPDATE ADDR4  
0
32  
64  
96  
128  
E
CYCLES  
Figure 10-3 A/D Conversion Sequence  
ANALOG-TO-DIGITAL CONVERTER  
MC68HC11F1  
10-4  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
 
Freescale Semiconductor, Inc.  
10.2 A/D Converter Power-Up and Clock Select  
Bit 7 of the OPTION register controls A/D converter power up. Clearing ADPU re-  
moves power from and disables the A/D converter system. Setting ADPU enables the  
A/D converter system. Stabilization of the analog bias voltages requires a delay of as  
much as 100 µs after turning on the A/D converter. When the A/D converter system is  
operating with the MCU E clock, all switching and comparator operations are synchro-  
nized to the MCU clocks. This allows the comparator results to be sampled at quiet  
times, which minimizes noise errors. The internal RC oscillator is asynchronous to the  
MCU clock, so noise affects A/D converter results, which lowers accuracy slightly  
while CSEL = 1.  
OPTION — System Configuration Options  
$1039  
Bit 7  
ADPU  
0
6
CSEL  
0
5
IRQE*  
0
4
DLY*  
1
3
CME  
0
2
FCME*  
0
1
CR1*  
0
Bit 0  
CR0*  
0
RESET:  
*Can be written only once in first 64 cycles out of reset in normal modes, or at any time in special modes  
ADPU — A/D Power-Up  
0 = A/D powered down  
1 = A/D powered up  
CSEL — Clock Select  
0 = A/D and EEPROM use system E clock  
1 = A/D and EEPROM use internal RC clock  
IRQE — Configure IRQ for Edge-Sensitive Only Operation  
Refer to SECTION 5 RESETS AND INTERRUPTS.  
DLY — Enable Oscillator Start-up Delay  
Refer to SECTION 5 RESETS AND INTERRUPTS.  
CME — Clock Monitor Enable  
Refer to SECTION 5 RESETS AND INTERRUPTS.  
FCME — Force Clock Monitor Enable  
Refer to SECTION 5 RESETS AND INTERRUPTS.  
CR[1:0] — COP Timer Rate Select Bits  
Refer to SECTION 5 RESETS AND INTERRUPTS and SECTION 9 TIMING SYS-  
TEM.  
10.3 Conversion Process  
The A/D conversion sequence begins one E-clock cycle after a write to the A/D control/  
status register, ADCTL. The bits in ADCTL select the channel and the mode of con-  
version.  
An input voltage equal to V converts to $00 and an input voltage equal to V  
con-  
RL  
RH  
verts to $FF (full scale), with no overflow indication. For ratiometric conversions of this  
type, the source of each analog input should use V  
as the supply voltage and be  
RH  
referenced to V .  
RL  
ANALOG-TO-DIGITAL CONVERTER  
TECHNICAL DATA  
10-5  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
10.4 Channel Assignments  
The multiplexer allows the A/D converter to select one of sixteen analog signals. Eight  
of these channels correspond to port E input lines, four of the channels are internal  
reference points or test functions, and four channels are reserved. Refer to Table 10-  
1.  
Table 10-1 A/D Converter Channel Assignments  
Channel  
Number  
Channel  
Signal  
Result in ADRx if  
MULT = 1  
1
2
AN0  
AN1  
ADR1  
ADR2  
ADR3  
ADR4  
ADR1  
ADR2  
ADR3  
ADR4  
3
AN2  
4
AN3  
5
AN4  
6
AN5  
7
AN6  
8
AN7  
9
Reserved  
Reserved  
Reserved  
Reserved  
10  
11  
12  
13  
V
*
ADR1  
RH  
14  
15  
16  
V
*
ADR2  
ADR3  
ADR4  
RL  
(V )/2*  
RH  
Reserved*  
*Used for factory testing  
10.5 Single-Channel Operation  
There are two types of single-channel operation. When SCAN = 0, the first type, the  
single selected channel is converted four consecutive times. The first result is stored  
in A/D result register 1 (ADR1), and the fourth result is stored in ADR4. After the fourth  
conversion is complete, all conversion activity is halted until a new conversion com-  
mand is written to the ADCTL register. In the second type of single-channel operation,  
SCAN = 1, conversions continue to be performed on the selected channel with the fifth  
conversion being stored in register ADR1 (overwriting the first conversion result), the  
sixth conversion overwriting ADR2, and so on.  
10.6 Multiple-Channel Operation  
There are two types of multiple-channel operation. When SCAN = 0, the first type, a  
selected group of four channels is converted one time each. The first result is stored  
in A/D result register 1 (ADR1), and the fourth result is stored in ADR4. After the fourth  
conversion is complete, all conversion activity is halted until a new conversion com-  
mand is written to the ADCTL register. In the second type of multiple-channel opera-  
tion, SCAN = 1, conversions continue to be performed on the selected group of  
channels with the fifth conversion being stored in register ADR1 (replacing the earlier  
conversion result for the first channel in the group), the sixth conversion overwriting  
ADR2, and so on.  
ANALOG-TO-DIGITAL CONVERTER  
MC68HC11F1  
10-6  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
 
Freescale Semiconductor, Inc.  
10.7 Operation in STOP and WAIT Modes  
If a conversion sequence is in progress when either the STOP or WAIT mode is en-  
tered, the conversion of the current channel is suspended. When the MCU resumes  
normal operation, that channel is resampled and the conversion sequence is resumed.  
As the MCU exits the WAIT mode, the A/D circuits are stable and valid results can be  
obtained on the first conversion. However, in STOP mode, all analog bias currents are  
disabled and it is necessary to allow a stabilization period when leaving the STOP  
mode. If the STOP mode is exited with a delay (DLY = 1), there is enough time for  
these circuits to stabilize before the first conversion. If the STOP mode is exited with  
no delay (DLY bit in OPTION register = 0), allow 10 ms for the A/D circuitry to stabilize  
to avoid invalid results.  
10.8 A/D Control/Status Registers  
All bits in this register can be read or written, except CCF (bit 7), which is a read-only  
status indicator, and bit 6, which always reads as zero. Write to ADCTL to initiate a  
conversion. To quit a conversion in progress, write to this register and a new conver-  
sion sequence begins immediately.  
ADCTL — A/D Control/Status  
$1030  
Bit 7  
CCF  
1
6
0
5
SCAN  
I
4
MULT  
I
3
CD  
I
2
CC  
I
1
CB  
I
Bit 0  
CA  
I
RESET:  
CCF — Conversions Complete Flag  
A read-only status indicator, this bit is set when all four A/D result registers contain val-  
id conversion results. Each time the ADCTL register is overwritten, this bit is automat-  
ically cleared to zero and a conversion sequence is started. In the continuous mode,  
CCF is set at the end of the first conversion sequence.  
Bit 6 — Not implemented  
Always reads zero  
SCAN — Continuous Scan Control  
When this control bit is clear, the four requested conversions are performed once to  
fill the four result registers. When this control bit is set, conversions continue in a  
round-robin fashion with the result registers updated as data becomes available.  
MULT — Multiple Channel/Single Channel Control  
When this bit is clear, the A/D converter system is configured to perform four consec-  
utive conversions on the single channel specified by the four channel select bits CD–  
CA (bits [3:0] of the ADCTL register). When this bit is set, the A/D system is configured  
to perform a conversion on each of four channels where each result register corre-  
sponds to one channel.  
ANALOG-TO-DIGITAL CONVERTER  
TECHNICAL DATA  
10-7  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
NOTE  
When the multiple-channel continuous scan mode is used, extra care  
is needed in the design of circuitry driving the A/D inputs. The charge  
on the capacitive DAC array before the sample time is related to the  
voltage on the previously converted channel. A charge share situa-  
tion exists between the internal DAC capacitance and the external  
circuit capacitance. Although the amount of charge involved is small,  
the rate at which it is repeated is every 64 µs for an E clock of 2 MHz.  
The RC charging rate of the external circuit must be balanced against  
this charge sharing effect to avoid errors in accuracy. Refer to  
M68HC11 Reference Manual (M68HC11RM/AD) for further informa-  
tion.  
CD–CA — Channel Selects D–A  
Refer to Table 10-2. When a multiple channel mode is selected (MULT = 1), the two  
least significant channel select bits (CB and CA) have no meaning and the CD and CC  
bits specify which group of four channels is to be converted.  
Table 10-2 A/D Converter Channel Selection  
Channel Select  
Control Bits  
Channel Signal  
Result in ADRx if  
MULT = 1  
CD:CC:CB:CA  
0000  
AN0  
AN1  
ADR1  
ADR2  
ADR3  
ADR4  
ADR1  
ADR2  
ADR3  
ADR4  
0001  
0010  
AN2  
0011  
AN3  
0100  
AN4  
0101  
AN5  
0110  
AN6  
0111  
AN7  
1000  
Reserved  
Reserved  
Reserved  
Reserved  
1001  
1010  
1011  
1100  
V
RH  
*
ADR1  
1101  
1110  
1111  
V
*
ADR2  
ADR3  
ADR4  
RL  
(V )/2*  
RH  
Reserved*  
*Used for factory testing  
10.9 A/D Converter Result Registers  
These read-only registers hold an 8-bit conversion result. Writes to these registers  
have no effect. Data in the A/D converter result registers is valid when the CCF flag in  
the ADCTL register is set, indicating a conversion sequence is complete. If conversion  
results are needed sooner, refer to Figure 10-3, which shows the A/D conversion se-  
quence diagram.  
ANALOG-TO-DIGITAL CONVERTER  
MC68HC11F1  
10-8  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
 
Freescale Semiconductor, Inc.  
ADR1–ADR4 — A/D Results  
$1031–$1034  
$1031  
$1032  
$1033  
$1034  
Bit 7  
Bit 7  
Bit 7  
Bit 7  
6
6
6
6
5
5
5
5
4
4
4
4
3
3
3
3
2
2
2
2
1
1
1
1
Bit 0  
ADR1  
ADR2  
ADR3  
ADR4  
Bit 0  
Bit 0  
Bit 0  
ANALOG-TO-DIGITAL CONVERTER  
TECHNICAL DATA  
10-9  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
ANALOG-TO-DIGITAL CONVERTER  
MC68HC11F1  
10-10  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
APPENDIX A ELECTRICAL CHARACTERISTICS  
This appendix contains electrical parameters for the MC68HC11F1 microcontroller.  
Table A-1 Maximum Ratings  
Rating  
Symbol  
Value  
Unit  
V
Supply Voltage  
Input Voltage  
V
– 0.3 to + 7.0  
– 0.3 to + 7.0  
DD  
V
V
in  
A
Operating Temperature Range  
MC68HC11F1  
T
T to T  
°C  
L
H
0 to + 70  
MC68HC11F1C  
MC68HC11F1V  
MC68HC11F1M  
– 40 to + 85  
– 40 to + 105  
– 40 to + 125  
Storage Temperature Range  
T
– 55 to + 150  
25  
°C  
stg  
Current Drain per Pin*  
I
mA  
D
Excluding V , V , AV , V , and V  
RL  
DD  
SS  
DD  
RH  
*One pin at a time, observing maximum power dissipation limits.  
Internal circuitry protects the inputs against damage caused by high static voltages or  
electric fields; however, normal precautions are necessary to avoid application of any  
voltage higher than maximum-rated voltages to this high-impedance circuit. Extended  
operation at the maximum ratings can adversely affect device reliability. Tying unused  
inputs to an appropriate logic voltage level (either GND or V ) enhances reliability of  
DD  
operation.  
ELECTRICAL CHARACTERISTICS  
TECHNICAL DATA  
A-1  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
Table A-2 Thermal Characteristics  
Characteristic  
Symbol  
Value  
T + (P x Q )  
JA  
Unit  
°C  
Average Junction Temperature  
Ambient Temperature  
T
J
A
D
T
User-determined  
°C  
A
Package Thermal Resistance (Junction-to-Ambient)  
68-Pin Plastic Leaded Chip Carrier  
80-Pin Low Profile Quad Flat Pack (LQFP, 1.4 mm Thick)  
Q
JA  
50  
80  
°C/W  
°C/W  
Total Power Dissipation  
(Note 1)  
P
P
+ P  
I/O  
W
D
INT  
K / (T + 273°C)  
J
Device Internal Power Dissipation  
I/O Pin Power Dissipation  
A Constant  
P
I
x V  
DD  
W
W
INT  
DD  
(Note 2)  
(Note 3)  
P
User-determined  
I/O  
K
P
x (T + 273°C) +  
Q
W x °C  
D
A
2
x P  
D
JA  
NOTES:  
1 This is an approximate value, neglecting P  
.
I/O  
2. For most applications P « P  
and can be neglected.  
I/O  
INT  
3. K is a constant pertaining to the device. Solve for K with a known T and a measured P (at equilibrium. Use  
A
D
this value of K to solve for P and T iteratively for any value of T  
D
J
A
ELECTRICAL CHARACTERISTICS  
MC68HC11F1  
TECHNICAL DATA  
A-2  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
Table A-3 DC Electrical Characteristics  
VDD = 5.0 Vdc ± 5%, VSS = 0 Vdc, TA = TL to TH, unless otherwise noted  
Characteristic  
Output Voltage (Note 1) All Outputs except XTAL  
All Outputs Except XTAL, RESET, and MODA  
= ± 10.0 µA  
Symbol  
Min  
Max  
Unit  
V
0.1  
V
V
OL  
V
V
V
– 0.1  
OH  
DD  
I
Load  
Output High Voltage (Note 1)  
All Outputs Except XTAL, RESET, and MODA  
= – 0.8 mA, V = 4.5 V  
V
– 0.8  
V
V
OH  
DD  
I
Load  
DD  
Output Low Voltage  
= 1.6 mA  
All Outputs Except XTAL  
V
0.4  
OL  
I
Load  
Input High Voltage  
All Inputs Except RESET  
RESET  
V
0.7 x V  
0.8 x V  
V
V
+ 0.3  
+ 0.3  
V
V
IH  
DD  
DD  
DD  
DD  
Input Low Voltage  
All Inputs  
V
V
– 0.3 0.2 x V  
DD  
V
IL  
SS  
I/O Ports, Three-State Leakage  
= V or V  
Ports A, B, C, D, F, G  
MODA/LIR, RESET  
I
±10  
µA  
OZ  
V
in  
IH  
IL  
Input Leakage Current (Note 2)  
I
in  
V
V
= V or V  
IRQ, XIRQ on standard devices  
MODB/V  
±1  
µA  
in  
in  
DD  
SS  
= V or V  
,
STBY  
DD  
SS  
XIRQ on EPROM devices  
±10  
µA  
µA  
Input Current with Pull-Up Resistors  
= V  
l
100  
500  
ipr  
V
Ports B, F, and G  
Power down  
in  
IL  
RAM Standby Voltage  
RAM Standby Current  
Input Capacitance  
V
4.0  
V
V
SB  
DD  
Power down  
I
20  
µA  
SB  
PE[7:0], IRQ, XIRQ, EXTAL  
C
8
12  
pF  
pF  
in  
Ports A, B, C, D, F, G, MODA/LIR, RESET  
Output Load Capacitance  
All Outputs Except PD[4:1],  
4XOUT, XTAL, MODA/LIR  
PD[4:1]  
C
L
90  
200  
30  
pF  
pF  
pF  
4XOUT  
Characteristic  
Symbol  
2 MHz 3 MHz 4 MHz  
Unit  
Maximum Total Supply Current (Note 3)  
RUN:  
I
DD  
Expanded Mode  
27  
15  
38  
20  
50  
25  
mA  
mA  
WAIT: (All Peripheral Functions Shut Down)  
W
IDD  
IDD  
Expanded Mode  
STOP:  
S
No Clocks, Expanded Mode  
50  
50  
50  
µA  
Maximum Power Dissipation  
Expanded Mode  
P
D
149  
209  
275  
mW  
NOTES:  
1. V specification for RESET and MODA is not applicable because they are open-drain pins. V specification  
OH  
OH  
not applicable to ports C and D in wired-OR mode.  
2. Refer to A/D specification for leakage current for port E.  
3. EXTAL is driven with a square wave, and  
t
t
t
= 500 ns for 2 MHz rating;  
= 333 ns for 3 MHz rating;  
= 250 ns for 4 MHz rating;  
cyc  
cyc  
cyc  
V
0.2 V; V V – 0.2 V; No dc loads.  
IL  
IH DD  
ELECTRICAL CHARACTERISTICS  
TECHNICAL DATA  
A-3  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
~V  
DD  
V
– 0.8 V  
CLOCKS/  
STROBES  
DD  
0.4 V  
0.4 V  
~V  
SS  
NOM  
NOM  
70% OF V  
20% OF V  
DD  
INPUTS  
DD  
NOMINAL TIMING  
~V  
~V  
DD  
V
– 0.8 V  
DD  
0.4 V  
OUTPUTS  
SS  
DC TESTING  
~V  
DD  
70% OF V  
CLOCKS/  
STROBES  
DD  
20% OF V  
DD  
20% OF V  
SPEC  
DD  
~V  
SS  
SPEC  
V
– 0.8 V  
DD  
70% OF V  
20% OF V  
70% OF V  
20% OF V  
DD  
DD  
DD  
DD  
INPUTS  
0.4 V  
SPEC TIMING  
~V  
DD  
70% OF V  
20% OF V  
DD  
DD  
OUTPUTS  
~V  
SS  
AC TESTING  
NOTES:  
1. Full test loads are applied during all DC electrical tests and AC timing measurements.  
2. During AC timing measurements, inputs are driven to 0.4 volts and V – 0.8 volts while timing measurements are  
DD  
taken at the 20% and 70% of V  
DD  
points.  
Figure A-1 Test Methods  
ELECTRICAL CHARACTERISTICS  
MC68HC11F1  
A-4  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
Table A-4 Control Timing  
VDD = 5.0 Vdc ± 5%, VSS = 0 Vdc, TA = TL to TH  
Characteristic  
Symbol  
2.0 MHz  
3.0 MHz  
4.0 MHz  
Unit  
Min Max Min Max Min Max  
Frequency of Operation  
f
dc  
500  
2.0  
dc  
333  
3.0  
dc  
250  
4.0 MHz  
ns  
o
E-Clock Period  
t
cyc  
Crystal Frequency  
f
8.0  
8.0  
12.0  
12.0  
16.0 MHz  
16.0 MHz  
XTAL  
External Oscillator Frequency  
4 f  
dc  
dc  
dc  
o
Processor Control Setup Time  
t
t
175  
133  
113  
ns  
PCSU  
= 1/4 t + 50 ns  
PCSU  
cyc  
Reset Input Pulse Width (Notes 2, 3)  
(To Guarantee External Reset Vector)  
PW  
RSTL  
16  
1
16  
1
16  
1
t
t
cyc  
cyc  
(Minimum Input Time;  
Can Be Preempted by Internal Reset)  
Mode Programming Setup Time  
t
2
2
2
t
MPS  
cyc  
Mode Programming Hold Time  
t
10  
10  
10  
ns  
ns  
MPH  
Interrupt Pulse Width, IRQ Edge-Sensitive Mode  
PW  
520  
353  
270  
IRQ  
PW  
= t + 20 ns  
IRQ  
cyc  
Wait Recovery Startup Time  
t
4
4
4
t
cyc  
WRS  
Timer Pulse Width, Input Capture Pulse Accumulator PW  
520  
353  
270  
ns  
TIM  
Input  
PW  
= t + 20 ns  
TIM cyc  
NOTES:  
1. All timing is shown with respect to 20% V and 70% V , unless otherwise noted.  
DD  
DD  
2. RESET is recognized during the first clock cycle it is held low. Internal circuitry then drives the pin low for four  
clock cycles, releases the pin, and samples the pin level two cycles later to determine the source of the interrupt.  
Refer to SECTION 5 RESETS AND INTERRUPTS for further detail.  
3. PW  
= 8 t minimum on mask set C94R only.  
cyc  
RSTL  
1
PA[3:0]  
2
PA[3:0]  
1,3  
PA7  
PA7  
PW  
TIM  
2,3  
NOTES:  
1. Rising edge sensitive input.  
2. Falling edge sensitive input.  
3. Maximum pulse accumulator clocking rate is E-clock frequency divided by 2.  
Figure A-2 Timer Inputs  
ELECTRICAL CHARACTERISTICS  
TECHNICAL DATA  
A-5  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                      C
N
F
F
F
M
t
F
Figure A-3 POR External Reset Timing Diagram  
ELECTRICAL CHARACTERISTICS  
MC68HC11F1  
A-6  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                      C
N
F
(
F
(
S
Figure A-4 STOP Recovery Timing Diagram  
ELECTRICAL CHARACTERISTICS  
TECHNICAL DATA  
A-7  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                      C
N
1
+
V
A
A
V
S
W SR  
Figure A-5 WAIT Recovery from Interrupt Timing Diagram  
ELECTRICAL CHARACTERISTICS  
MC68HC11F1  
TECHNICAL DATA  
A-8  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                      P
C
                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                      C
N
1
+
L
V
V
A
M
V
A
V
S
C
S
A
Figure A-6 Interrupt Timing Diagram  
ELECTRICAL CHARACTERISTICS  
TECHNICAL DATA  
A-9  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
Table A-5 Peripheral Port Timing  
VDD = 5.0 Vdc ± 5%, VSS = 0 Vdc, TA = TL to TH  
Characteristic  
Symbol  
2.0 MHz  
3.0 MHz  
4.0 MHz  
Unit  
Min Max Min Max Min Max  
Frequency of Operation (E-Clock Frequency)  
E-Clock Period  
f
dc  
2.0  
dc  
3.0  
dc  
4.0 MHz  
o
t
500  
100  
333  
100  
250  
100  
ns  
ns  
cyc  
Peripheral Data Setup Time  
t
PDSU  
(MCU Read of Ports A, C, D, E, G)  
Peripheral Data Hold Time  
t
50  
50  
50  
ns  
ns  
PDH  
(MCU Read of Ports A, C, D, E, G)  
Delay Time, Peripheral Data Write  
(MCU Write to Port A)  
t
PWD  
200  
225  
200  
183  
200  
162  
(MCU Write to Ports B, C, D, F, and G  
t
= 1/4 t + 100 ns)  
PWD  
cyc  
NOTES:  
1. Ports C, D, and G timing is valid for active drive (CWOM, DWOM, and GWOM bits cleared).  
2. All timing is shown with respect to 20% V and 70% V , unless otherwise noted.  
DD  
DD  
MCU READ OF PORT  
E
PORTS A, C, D, F  
PORTS B, E, G  
t
t
PDH  
PDSU  
t
t
PDH  
PDSU  
Figure A-7 Port Read Timing Diagram  
MCU WRITE TO PORT  
E
t
PWD  
PORTS C, D, F  
PORTS A, B, G  
PREVIOUS PORT DATA  
NEW DATA VALID  
t
PWD  
PREVIOUS PORT DATA  
NEW DATA VALID  
Figure A-8 Port Write Timing Diagram  
ELECTRICAL CHARACTERISTICS  
MC68HC11F1  
A-10  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
Table A-6 Analog-To-Digital Converter Characteristics  
VDD = 5.0 Vdc ± 5%, VSS = 0 Vdc, TA = TL to TH, 750 kHz E 3.0 MHz, unless otherwise noted  
Characteristic  
Parameter  
Min  
Absolute 2.0 MHz 3.0 MHz 4.0 MHz Unit  
Max  
Max  
Max  
Resolution  
Number of Bits Resolved by A/D Converter  
8
Bits  
Non-Linearity  
Maximum Deviation from the Ideal A/D Transfer  
Characteristics  
± 1  
± 1  
± 1  
LSB  
Zero Error  
Difference Between the Output of an Ideal and  
an Actual for Zero Input Voltage  
± 1  
± 1  
± 1  
± 1  
± 1  
± 1  
LSB  
LSB  
Full Scale Error Difference Between the Output of an Ideal and  
an Actual A/D for Full-Scale Input Voltage  
Total Unadjusted Maximum Sum of Non-Linearity, Zero Error, and  
Error  
± 1/2 ± 1 1/2 ± 1 1/2 LSB  
Full-Scale Error  
Quantization  
Error  
Uncertainty Because of Converter Resolution  
± 1/2  
± 1  
± 1/2  
± 2  
± 1/2  
± 2  
LSB  
LSB  
Absolute  
Accuracy  
Difference Between the Actual Input Voltage and  
the Full-Scale Weighted Equivalent of the Binary  
Output Code, All Error Sources Included  
Conversion  
Range  
Analog Input Voltage Range  
V
V
V
V
V
RH  
V
V
V
V
RL  
RH  
RH  
V
Maximum Analog Reference Voltage  
(Note 2)  
V
0.1  
+
V
0.1  
+
V
0.1  
+
RH  
RL  
DD  
DD  
DD  
V
Minimum Analog Reference Voltage  
(Note 2)  
V
–0.1  
V
V
V
RH  
RL  
SS  
RH  
RH  
V  
Minimum Difference between V and V  
RL  
(Note 2)  
3
R
RH  
Conversion  
Time  
Total Time to Perform a Single  
Analog-to-Digital Conversion:  
E Clock  
32  
t
cyc  
Internal RC Oscillator  
t
+ 32 t + 32 t + 32 µs  
cyc cyc cyc  
Monotonicity  
Conversion Result Never Decreases with an  
Increase in Input Voltage and has no Missing  
Codes  
Guaranteed  
Zero Input  
Reading  
Conversion Result when V = V  
00  
Hex  
Hex  
in  
RL  
Full Scale  
Reading  
Conversion Result when V = V  
FF  
FF  
FF  
in  
RH  
Sample  
Acquisition Time  
Analog Input Acquisition Sampling Time:  
E Clock  
Internal RC Oscillator  
12  
12  
12  
12  
t
cyc  
µs  
Sample/Hold  
Capacitance  
Input Capacitance during Sample PE[7:0]  
20 (Typ)  
pF  
Input Leakage  
Input Leakage on A/D Pins  
PE[7:0]  
, V  
400  
1.0  
400  
1.0  
400  
1.0  
nA  
µA  
V
RL  
RH  
NOTES:  
1. For f < 2 MHz, source impedances should equal approximately 10 k. For f 2 MHz, source impedances  
op  
op  
should equal approximately 5 k– 10 k. Source impedances greater than 10 kaffect accuracy adversely be-  
cause of input leakage.  
2. Performance verified down to 2.5 V V , but accuracy is tested and guaranteed at V = 5 V ± 10%  
R
R
ELECTRICAL CHARACTERISTICS  
TECHNICAL DATA  
A-11  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
Table A-7 Expansion Bus Timing  
VDD = 5.0 Vdc ± 5%, VSS = 0 Vdc, TA = TL to TH  
Num  
Characteristic  
Symbol  
2.0 MHz  
3.0 MHz  
4.0 MHz  
Unit  
Min Max Min Max Min Max  
Frequency of Operation (E-Clock Frequency)  
f
dc  
2.0  
dc  
3.0  
dc  
4.0 MHz  
o
1
2
Cycle Time  
t
= 1/f  
t
cyc  
500  
230  
333  
147  
250  
105  
ns  
ns  
cyc  
o
Pulse Width, E Low  
PW  
EL  
PW = 1/2 t – 20 ns  
EL  
cyc  
3
Pulse Width, E High  
PW = 1/2 t – 25 ns  
PW  
225  
142  
100  
ns  
EH  
(Note 2)  
EH  
cyc  
4A E Clock  
4B  
Rise Time  
FallTime  
t
t
20  
20  
20  
18  
20  
15  
ns  
ns  
r
f
9
Address Hold Time  
= 1/8 t – 10 ns  
t
t
53  
103  
32  
65  
82  
21  
34  
71  
ns  
ns  
ns  
AH  
AD  
t
AH  
cyc  
11 Address Delay Time  
= 1/8 t + 40 ns  
t
AD  
cyc  
12 Address Valid Time to E Rise  
= PW – t  
t
128  
AV  
t
AV  
EL  
AD  
17 Read Data Setup Time  
18 Read Data Hold Time  
19 Write Data Delay Time  
21 Write Data Hold Time  
t
30  
0
40  
30  
0
40  
20  
0
40  
ns  
ns  
ns  
ns  
DSR  
t
DHR  
t
t
63  
42  
31  
DDW  
DHW  
t
= 1/8 t  
DHW  
cyc  
29 MPU Address Access Time  
= t – t – t – t  
AD  
t
348  
185  
203  
102  
144  
60  
ns  
ns  
ACCA  
t
(Note 2)  
(Note 2)  
ACCA  
cyc  
f
DSR  
39 Write Data Setup Time  
= PW – t  
t
DSW  
t
DSW  
EH  
DDW  
50 E Valid Chip Select Delay Time  
t
40  
40  
40  
ns  
ns  
ECSD  
51 E Valid Chip Select Access Time  
t
155  
72  
40  
ECSA  
t
= PW – t  
– t  
DSR  
(Note 2)  
(Note 2)  
ECSA  
EH  
ECSD  
52 Chip Select Hold Time  
54 Address Valid Chip Select Delay Time  
= 1/4 t + 40 ns  
t
0
20  
0
20  
0
20  
ns  
ns  
CH  
t
165  
123  
103  
ACSD  
t
ACSD  
cyc  
55 Address Valid Chip Select Access Time  
= t – t – t – t  
t
285  
162  
113  
ns  
ACSA  
t
ACSA  
cyc  
f
DSR  
ACSD  
56 Address Valid to Chip Select Time  
t
10  
10  
10  
ns  
ns  
AVCS  
57 Address Valid to Data Three-State Time  
t
10  
10  
10  
AVDZ  
NOTES:  
1. Input clocks with duty cycles other than 50% affect bus performance.  
2. Indicates a parameter affected by clock stretching. Add n(t ) to parameter value, where:  
cyc  
n = 1, 2, or 3 depending on values written to CSSTRH register.  
3. All timing is shown with respect to 20% V and 70% V , unless otherwise noted.  
DD  
DD  
ELECTRICAL CHARACTERISTICS  
MC68HC11F1  
A-12  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
1
2
3
4B  
E
4A  
11  
12  
9
R/W, ADDRESS  
17  
29  
18  
21  
READ DATA  
WRITE DATA  
19  
39  
57  
50  
51  
52  
CS E VALID  
56  
55  
CS AD VALID  
54  
Figure A-9 Expansion Bus Timing  
ELECTRICAL CHARACTERISTICS  
TECHNICAL DATA  
A-13  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
Table A-8 Serial Peripheral Interface Timing  
VDD = 5.0 Vdc ± 5%, VSS = 0 Vdc, TA = TL to TH  
Num  
Characteristic  
Symbol  
2.0 MHz  
3.0 MHz  
4.0 MHz  
Unit  
Min Max Min Max Min Max  
Operating Frequency  
Master  
Slave  
f
f
dc  
dc  
1.0  
2.0  
dc  
dc  
1.5  
3.0  
dc  
dc  
2.0 MHz  
4.0 MHz  
op(m)  
op(s)  
1
2
3
4
5
6
7
8
9
Cycle Time  
Master  
t
t
2.0  
500  
2.0  
333  
2.0  
250  
t
cyc  
ns  
cyc(m)  
Slave  
cyc(s)  
Enable Lead Time  
Master  
(Note 2)  
(Note 2)  
t
250  
240  
200  
ns  
ns  
lead(m)  
Slave  
t
lead(s)  
Enable Lag Time  
Master  
t
t
250  
240  
200  
ns  
ns  
lag(m)  
Slave  
lag(s)  
Clock (SCK) High Time  
Master  
Slave  
t
t
340  
190  
227  
127  
130  
85  
ns  
ns  
w(SCKH)m  
t
w(SCKH)s  
Clock (SCK) Low Time  
Master  
Slave  
340  
190  
227  
127  
130  
85  
ns  
ns  
w(SCKL)m  
t
w(SCKL)s  
Data Setup Time (Inputs)  
Master  
Slave  
t
100  
100  
100  
100  
100  
100  
ns  
ns  
su(m)  
t
su(s)  
Data Hold Time (Inputs)  
Master  
Slave  
t
100  
100  
100  
100  
100  
100  
ns  
ns  
h(m)  
t
h(s)  
Access Time (Time to Data Active from  
High-Impedance State)  
Slave  
t
0
120  
0
120  
0
120  
ns  
a
Disable Time (Hold Time to High-Impedance State)  
Slave  
t
0
240  
240  
0
167  
167  
0
125  
125  
ns  
ns  
ns  
dis  
10 Data Valid (After Enable Edge)  
11 Data Hold Time (Outputs) (After Enable Edge)  
12 Rise Time (20% V to 70% V , C = 200 pF)  
(Note 3)  
t
v(s)  
t
ho  
DD  
DD  
L
SPI Outputs (SCK, MOSI, and MISO)  
SPI Inputs (SCK, MOSI, MISO, and SS)  
t
t
100  
2.0  
100  
2.0  
100  
2.0  
ns  
µs  
rm  
rs  
13 Fall Time (70% V to 20% V , C = 200 pF)  
DD  
DD  
L
SPI Outputs (SCK, MOSI, and MISO)  
SPI Inputs (SCK, MOSI, MISO, and SS)  
t
t
100  
2.0  
100  
2.0  
100  
2.0  
ns  
µs  
fm  
fs  
NOTES:  
1. All timing is shown with respect to 20% V and 70% V , unless otherwise noted.  
DD  
DD  
2. Signal production depends on software.  
3. Assumes 200 pF load on all SPI pins.  
ELECTRICAL CHARACTERISTICS  
MC68HC11F1  
TECHNICAL DATA  
A-14  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
SS  
(INPUT)  
SS IS HELD HIGH ON MASTER  
1
13  
13  
12  
12  
5
4
SCK (CPOL = 0)  
(OUTPUT)  
SEE  
NOTE  
4
5
SCK (CPOL = 1)  
(OUTPUT)  
SEE  
NOTE  
6
7
MISO  
(INPUT)  
MSB IN  
BIT 6 - - - -1  
11  
LSB IN  
11 (ref)  
10  
BIT 6 - - - -1  
10 (ref)  
MOSI  
(OUTPUT)  
MASTER MSB OUT  
13  
MASTER LSB OUT  
12  
NOTE: This first clock edge is generated internally but is not seen at the SCK pin.  
Figure A-10 SPI Master Timing (CPHA = 0)  
SS  
(INPUT)  
SS IS HELD HIGH ON MASTER  
1
13  
12  
13  
5
SCK (CPOL = 0)  
(OUTPUT)  
SEE  
NOTE  
4
5
SCK (CPOL = 1)  
(OUTPUT)  
SEE  
NOTE  
4
12  
6
7
MISO  
(INPUT)  
MSB IN  
BIT 6 - - - -1  
11  
LSB IN  
11 (ref)  
10  
BIT 6 - - - -1  
10 (ref)  
MOSI  
(OUTPUT)  
MASTER MSB OUT  
13  
MASTER LSB OUT  
12  
NOTE: This last clock edge is generated internally but is not seen at the SCK pin.  
Figure A-11 SPI Master Timing (CPHA = 1)  
ELECTRICAL CHARACTERISTICS  
TECHNICAL DATA  
A-15  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
SS  
(INPUT)  
1
13  
12  
3
5
4
SCK (CPOL = 0)  
(INPUT)  
4
5
2
SCK (CPOL = 1)  
(INPUT)  
8
12  
13  
SLAVE LSB OUT  
11 11  
9
MISO  
(OUTPUT)  
SEE  
NOTE  
SLAVE  
6
MSB OUT  
7
BIT 6 - - - -1  
10  
BIT 6 - - - -1  
MOSI  
(INPUT)  
MSB IN  
LSB IN  
NOTE: Not defined but normally MSB of character just received.  
Figure A-12 SPI Slave Timing (CPHA = 0)  
SS  
(INPUT)  
1
12  
13  
5
4
SCK (CPOL = 0)  
(INPUT)  
4
5
2
3
SCK (CPOL = 1)  
(INPUT)  
8
10  
13  
12  
9
MISO  
(OUTPUT)  
SEE  
NOTE  
SLAVE  
6
MSB OUT  
7
BIT 6 - - - -1  
SLAVE LSB OUT  
10  
BIT 6 - - - -1  
11  
MOSI  
(INPUT)  
MSB IN  
LSB IN  
NOTE: Not defined but normally LSB of character previously transmitted.  
Figure A-13 SPI Slave Timing (CPHA = 1)  
ELECTRICAL CHARACTERISTICS  
MC68HC11F1  
A-16  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
Table A-9 EEPROM Characteristics  
VDD = 5.0 Vdc ± 10%, VSS = 0 Vdc, TA = TL to TH  
Characteristic  
Temperature Range  
Unit  
0 to 70,  
– 40 to 85  
–40 to 105  
–40 to 125  
20  
° C  
Programming Time  
(Note 1)  
<1.0 MHz, RCO Enabled  
1.0 to 2.0 MHz, RCO Disabled  
10  
20  
10  
15  
ms  
Must use RCO Must use RCO  
2.0 MHz (or Anytime RCO Enabled)  
15  
20  
Erase Time (Note 1) Byte, Row and Bulk  
10  
10,000  
10  
10  
10  
ms  
Write/Erase Endurance (Note 2)  
Data Retention (Note 2)  
10,000  
10  
10,000  
10  
Cycles  
Years  
NOTES:  
1. The RC oscillator (RCO) must be enabled (by setting the CSEL bit in the OPTION register) for EEPROM pro-  
gramming and erasure when the E-clock frequency is below 1.0 MHz.  
2. Refer to Reliability Monitor Report (current quarterly issue) for current failure rate information.  
ELECTRICAL CHARACTERISTICS  
TECHNICAL DATA  
A-17  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
ELECTRICAL CHARACTERISTICS  
MC68HC11F1  
A-18  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
APPENDIX BMECHANICAL DATA AND ORDERING INFORMATION  
B.1 Pin Assignments  
The MC68HC11F1 is available in the 80-pin plastic low profile quad flat pack (LQFP)  
or the 68-pin plastic leaded chip carrier (PLCC). Refer to Table B-1 for ordering infor-  
mation.  
PC1/DATA1  
PC2/DATA2  
PC3/DATA3  
PC4/DATA4  
PC5/DATA5  
PC6/DATA6  
PC7/DATA7  
RESET  
60  
59  
58  
57  
56  
55  
54  
53  
52  
PE4/AN4  
10  
11  
12  
13  
14  
15  
16  
17  
18  
19  
20  
21  
22  
23  
24  
25  
26  
1
PE0/AN0  
PF0/ADDR0  
PF1/ADDR1  
PF2/ADDR2  
PF3/ADDR3  
PF4/ADDR4  
PF5/ADDR5  
PF6/ADDR6  
XIRQ  
MC68HC11F1  
IRQ  
51 PF7/ADDR7  
PG7/CSPROG  
PG6/CSGEN  
PG5/CSIO1  
PG4/CSIO2  
PG3  
50  
49  
48  
47  
46  
45  
44  
PB0/ADDR8  
PB1/ADDR9  
PB2/ADDR10  
PB3/ADDR11  
PB4/ADDR12  
PB5/ADDR13  
PB6/ADDR14  
PG2  
PG1  
Figure B-1 MC68HC11F1 68-Pin PLCC  
TECHNICAL DATA  
B-1  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
D
P
P
P
                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                      A
                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                      A
                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                      A
                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                      A
                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                      A
V
N
N
P
P
P
                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                      D
                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                      D
P
P
                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                      G
                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                      C
                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                      4
8
7
7
7
7
7
                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                      3
                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                      2
                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                      1
                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                      0
                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                      9
                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                      8
                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                      7
6
                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                      5
                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                      3
                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                      2
                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                      1
                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                      4
NC  
NC  
1
60  
59  
58  
57  
56  
55  
54  
53  
52  
51  
50  
49  
48  
47  
46  
45  
44  
43  
42  
41  
NC  
2
PG1  
PB6/ADDR14  
PB5/ADDR13  
PB4/ADDR12  
PB3/ADDR11  
PB2/ADDR10  
PB1/ADDR9  
PB0/ADDR8  
PF7/ADDR7  
PF6/ADDR6  
PF5/ADDR5  
PF4/ADDR4  
PF3/ADDR3  
PF2/ADDR2  
PF1/ADDR1  
PF0/ADDR0  
PE0/AN0  
3
PG2  
4
PG3  
5
PG4/CSIO2  
PG5/CSIO1  
PG6/CSGEN  
PG7/CSPROG  
IRQ  
6
7
8
9
10  
11  
12  
13  
14  
15  
16  
17  
18  
19  
20  
XIRQ  
MC68HC11F1  
RESET  
PC7/DATA7  
PC6/DATA6  
PC5/DATA5  
PC4/DATA4  
PC3/DATA3  
PC2/DATA2  
PC1/DATA1  
NC  
PE4/AN4  
NC  
NC  
Figure B-2 MC68HC11F1 80-Pin Quad Flat Pack  
B.2 Package Dimensions  
For case outlines please visit our website at http://design-net.sps.mot.com.  
MC68HC11F1  
TECHNICAL DATA  
B-2  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
B.3 Ordering Information  
Use the information in Table B-1 to specify the appropriate device when placing an  
order.  
Table B-1 Device Ordering Information  
Description  
NO ROM,  
Package  
80-Pin LQFP  
Temperature  
Frequency  
2 MHz  
3 MHz  
4 MHz  
2 MHz  
3 MHz  
4 MHz  
2 MHz  
3 MHz  
2 MHz  
3 MHz  
4 MHz  
2 MHz  
3 MHz  
4 MHz  
2 MHz  
3 MHz  
MC Order Number  
MC68HC11F1CPU2  
MC68HC11F1CPU3  
MC68HC11F1CPU4  
MC68HC11F1VPU2  
MC68HC11F1VPU3  
MC68HC11F1VPU4  
MC68HC11F1MPU2  
MC68HC11F1MPU3  
MC68HC11F1CFN2  
MC68HC11F1CFN3  
MC68HC11F1CFN4  
MC68HC11F1VFN2  
MC68HC11F1VFN3  
MC68HC11F1VFN4  
MC68HC11F1MFN2  
MC68HC11F1MFN3  
– 40° to + 85° C  
512 Bytes EEPROM,  
1024 Bytes RAM  
(14 mm X 14 mm,  
1.4 mm thick)  
– 40° to + 105° C  
– 40° to + 125° C  
– 40° to + 85° C  
68-Pin PLCC  
– 40° to + 105° C  
– 40° to + 125° C  
TECHNICAL DATA  
B-3  
For More Information On This Product,  
Go to: www.freescale.com  
 
Freescale Semiconductor, Inc.  
MC68HC11F1  
B-4  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
APPENDIX CDEVELOPMENT SUPPORT  
C.1 MC68HC11F1 Development Tools  
The following table and text provide a reference to development tools for the  
MC68HC11F1 microcontrollers. For more complete information refer to the appropri-  
ate manual for each system.  
Table C-1 MC68HC11F1 Development Tools  
Device  
Evaluation Systems  
Modular Development Systems  
MC68HC11F1  
M68HC11F1EVS  
MMDS11*  
* For MC68HC11F1 support, the MMDS11 must be used with an MC68HC11F1 emulator module.  
C.2 MC68HC11EVS — Evaluation System  
The EVS is an economical tool for designing, debugging, and evaluating target sys-  
tems based on the MC68HC11F1 MCU. The two printed circuit boards that comprise  
the EVS are the MC68HC11F1EM emulator module (EM) and the M68HC11PFB plat-  
form board (PFB).  
• Monitor/debugger firmware  
• One-line assembler/disassembler  
• Host computer download capability  
• Dual memory maps:  
— 64 Kbyte monitor map that includes 16 Kbytes of monitor EPROM  
— MC68HC11F1 user map that includes 64 Kbytes of emulation RAM  
• OTPROM, EPROM, and EEPROM MCU programmer  
• MCU extension I/O port for single-chip, expanded, and special-test operation  
modes  
• RS-232C terminal and host I/O ports  
• Logic analyzer connector  
C.3 M68MMDS11 — Modular Development System for M68HC11 Devices  
The M68MMDS11 Freescale Modular Development System (MMDS11) is a tool for de-  
veloping embedded systems based on M68HC11 MCUs. The MMDS11 is an emulator  
system that provides an on-screen bus state analyzer and real-time memory monitor-  
ing windows. An integrated design environment includes an editor, an assembler, the  
user interface, and source-level debug capability. These features significantly reduce  
the time necessary to develop and debug an embedded MCU system. The compact  
unit requires minimum space.  
• Real-time, non-intrusive, in-circuit emulation  
• Assembly-language source-level debugging  
TECHNICAL DATA  
C-1  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
• Built-in real-time bus state analyzer:  
— 8 Kbyte x 64 real-time trace buffer  
— Four hardware triggers control real-time bus analysis, provide breakpoints  
— Nine triggering modes  
— Display of real-time trace data as raw data, disassembled instructions, raw  
data and disassembled instructions, or assembly-language source code  
— As many as 8190 pre- or post-trigger points  
— Trace buffer can be filled while single-stepping through user software  
— 16-bit or 24-bit time tag  
— Programmable time tag clock source  
— 16 general-purpose logic clips, four can trigger bus state analyzer sequencer  
• 64 Kbytes of emulation memory  
• 32-byte block of real-time memory, can be mapped within a 1 Kbyte window any-  
where in the 64 Kbyte M68HC11 memory map.  
• Six software-selectable oscillator clock sources: five internally generated fre-  
quencies or an external frequency on a logic clip  
• 64 possible hardware instruction breakpoints over the 64 Kbyte M68HC11 mem-  
ory map or a one megabyte bank selected memory map.  
• Four data breakpoints (hardware breakpoints). A data breakpoint can be qualified  
by an address, an address range, data, or clips.  
• SCRIPT command for automatic execution of MMDS11 command sequences  
• Command and response logging  
• A DOS personality file for each EM that the MMDS supports. Each personality file  
provides a foreground memory-map description and a chip information file.  
• CHIPINFO command provides memory-map, vectors, registers, and pin-out infor-  
mation contained in the personality file  
• Latch-up resistant design makes power-up sequencing unimportant.  
• RS-232 operation speeds as high as 57.6 Kbaud  
• On-screen, context-sensitive help  
• Mouse or keyboard control of software  
• Built-in power supply  
• Compact size: 15.38 inches (390.6 mm) deep, 10.19 inches (258.83 mm) wide,  
and 2.75 inches (69.85 mm) high. Station module weighs 6.0 pounds (2.72 kg).  
MC68HC11F1  
C-2  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  

相关型号:

MC68HC11FC0

Technical Summary 8-Bit Microcontroller
MOTOROLA

MC68HC11FC0

Technical Summary 8-Bit Microcontroller
FREESCALE

MC68HC11FC0CFU4

Technical Summary 8-Bit Microcontroller
MOTOROLA

MC68HC11FC0CFU4

Technical Summary 8-Bit Microcontroller
FREESCALE

MC68HC11FC0CFU5

Technical Summary 8-Bit Microcontroller
MOTOROLA

MC68HC11FC0CFU5

Technical Summary 8-Bit Microcontroller
FREESCALE

MC68HC11FC0CPU4

Technical Summary 8-Bit Microcontroller
MOTOROLA

MC68HC11FC0CPU4

Technical Summary 8-Bit Microcontroller
FREESCALE

MC68HC11FC0CPU5

Technical Summary 8-Bit Microcontroller
MOTOROLA

MC68HC11FC0CPU5

Technical Summary 8-Bit Microcontroller
FREESCALE

MC68HC11FC0FU6

Technical Summary 8-Bit Microcontroller
MOTOROLA

MC68HC11FC0FU6

Technical Summary 8-Bit Microcontroller
FREESCALE